Forum: FPGA, VHDL & Co. Digitales Signal definiert verjittern


von Klaus (Gast)


Lesenswert?

Hallo,

hat jemand eine Idee, wie man eine Digitalsignal (150Mps) definiert
mit Jitter belegen kann (in der Größenordnung von mehreren 100ps)?

Gibt es da einen digitalen Baustein, der dafür verwendet werden kann?

Vielen Dank schon mal!

Klaus

von Bernd G. (Gast)


Lesenswert?

MC 100 E 195 FN, 127 Stufen zu je 20 ps, kann kaskadiert werden, hab 
noch welche!

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Den Taktquarz mit einer Kapazitätsdiode frequenzmodulieren, wenn er 
zugänglich ist ?

von Klaus (Gast)


Lesenswert?

Interessante Idee, aber leider nicht zugänglich!

von Klaus (Gast)


Lesenswert?

Gibt es für den MC 100 E 195 FN irgendein Applikationsdatenblatt?

Danke Nochmals!

von Bernd G. (Gast)


Lesenswert?

AppNote dazu gibt es nicht, das Datenblatt erklärt das Ding meines 
Erachtens nach ausreichend.
Es gibt inzwischen aber bei OnSemi auch modernere Typen (bei gleicher 
Funktionalität)!

von OOO (Gast)


Lesenswert?

Ein Steckbrett mit 37 kaskadierten Doppel-Invertern der HCT Baureihe, 
die jeweils 100ps verzögern und dann ganz schnell umstecken.

von Klaus (Gast)


Lesenswert?

Wenn ich das richtig verstanden habe ist der MC 100 E 195 praktisch ne 
Delayline. Ich hätte halt gerne ne Lösung die Pulsbreite nicht statisch 
länger oder kürzer zu machen, sondern sie mit einem Signal zeitlich mit 
nem Hub von 1-2ns zu modulieren.

Irgendeine Idee? Wäre echt super dankbar für nen pfiffigen
Lösungsansatz!

von Falk B. (falk)


Lesenswert?

@ Klaus (Gast)

>länger oder kürzer zu machen, sondern sie mit einem Signal zeitlich mit
>nem Hub von 1-2ns zu modulieren.

>Irgendeine Idee? Wäre echt super dankbar für nen pfiffigen
>Lösungsansatz!

Quick & Dirty Brainstorm.

Differentieller Eingang (LVDS, PECL, schneller Komparator).

Auf P-Eingang, das Signal, auf den N-Eingang das Modulationssignal, 
welches die Schaltschwelle darstellt. Wenn nun das Signal von einem 
Buffer mit definiert "lahmen" Flanken (10..20ns) geliefert wird (HC bei 
3,3V), dann kann man mit der Veränderung der Schaltschwelle die 
Verzögerung modulieren. einfach ein (Sinus) mit richtiger Amplitude, 
Offset und Freqeunz an den N-Eingang anschliessen. Asynchron und analog.

MFG
Falk

von Bernd G. (Gast)


Lesenswert?

@ Klaus

sicher ist es nur eine Verzögerungsleitung. Hier ist sozusagen 
entwicklerischer Körpereinsatz gefragt. Die VZL muß somit als 
Verzögerungselement in einem Aufbau aus z.B. zwei D-Triggern eingesetzt 
werden. Der erste D-Trigger schaltet bei der steigenden
Taktflanke ein. Mit der fallenden Flanke wird über einen Flankendetektor 
ein Rücksetzsignal erzeugt, das mit der VZL verzögert wird... digitales 
Monoflop. Die Abschaltflanke kann nur in Richtung Vergrößerung der 
Impulsbreite verzögert werden. Bei geschickter Auslegung geht es auch 
mit nur einem D-Trigger.

von Bernd G. (Gast)


Lesenswert?

Vielleicht reicht es auch aus, den mit der VZL verzögerten Takt einfach 
mit
dem Ursprungstakt zu verodern?
Ist doch wohl nicht so kompliziert und tut auch nicht weh. ECL ist 
natürlich nicht jedermanns Sache, das sehe ich ein. :-)

von Christian R. (supachris)


Lesenswert?

Bei www.datadelay.com gibts massig passende Verzögerungen.

von Stephan (Gast)


Lesenswert?

So ähnlich wie Falk es beschrieben hat hab ich das mal gebaut:

- ECL, schneller Komparator
- Schaltschwelle je Takt über geschaltete Stromquellen einstellbar; 
16-Bit-Schieberegister zur Vorgabe der Werte
- aus Taktsignal "Sägezahn" erzeugen - über geschaltete Stromquelle zum 
Laden und einfachen Transistor zum Entladen; das war aber Mist 
(Entladetransistor ging in Sättigung)
- Stromquellen jeweils differenziell (ECL eben)
- natürlich schön definierte Impedanzen so gut es mit ner doppelseitigen 
Platine noch möglich war

Geschoben werden konnte jeweils nur die steigende Flanke. Die fallende 
war im wesentlichen egal.

Bei 40MHz waren etwa +- 20% der Pulsbreite möglich. Der Rest des 
Sägezahns wahr nicht brauchbar. Ab rund 80MHz hat der Sägezahn gar 
nichts mehr getaugt (war dann mehr ein Sinus mit Dellen).

Die Genauigkeit der Flanken-Verschiebung innerhalb eines Testlaufs war 
gut (ca. 20ps RMS). Jedenfalls genauer als Fehler durch den 
HP-Frequenzgenerator (jede ungerade Flanke war um etwa 100ps versetzt).
Absolute Genauigkeit wäre wohl lausig gewesen, aber ich hab vorher 
aufgegeben.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.