Forum: FPGA, VHDL & Co. CPLD VHDL Experimentiersystem


von Axel S. (axelac)


Angehängte Dateien:

Lesenswert?

Liebe CPLD - VHDL Interessierte.

Um in diesen interessanten Bereich einzusteigen habe ich Hard und 
Software zusammengestellt, die es erlaubt für wenig Geld eigene 
Experimente mit einem in VHDL programmierbaren Baustein  der Firma 
Xilinx (XC 9572XL PC44) durchzuführen, der preisgünstig im PLCC Gehäuse 
(ca. 2.90 EUR) und unkompliziert zB. bei Reichelt bestellt werden kann. 
Im Archiv sind enthalten:

Eine einfache und kleine Platine als EAGLE Board Datei und 
Schaltplandatei, welche anschlusskompatibel1 zum CPLD Starterkit von 
Xilinx ist, und zB. bei MME (www.mme-pcb.de)  in Auftrag gegeben werden 
kann. Das Board kann von einem drei Volt Batteriepack oder von einem 
kleinen Netzteil (7-9V) gespeist werden. Siehe Schaltplan.

Eine Experimentierplatine (Schaltung und Platinenlayout für einseitige 
Platine als PDF) die mit 10 LEDs,  zwei 7 Segmentanzeigen, 8 DIL 
Schalterchen, 3*4 Keymatrixtastatur, Drehencoder und PS2 Tastaturbuchse 
bestückt ist und damit alle IOs des CPLD Bausteins für kleine 
Experimente benutzt. Alternativ ein kleineres Exp.Board als Eagle Board 
Datei (10 LEDs, 2  7Segm. 8 DIL, 2 Drehencoder und 5 Taster)

Eine einfache Schaltung  aus dem Internet, welche das notwendige 
Programmierkabel beschreibt, welches über die Parallelschnittstelle 
eines beliebigen PC einen Download in den CPLD Baustein erlaubt. 
(Weitere Info  in folgendem Forumsbeitrag : 
Beitrag "CPLD Programmieradapter" ). Falls ein Notebook ohne 
Parallelschnittstelle verwendet wird kann die Programmierung auch am 
kostengünstigsten zB. mit dem Presto USB Programmieradapter von ASIX (-> 
GOOGLE) erfolgen, der auch zum Programmieren von Atmel und PIC 
Mikrocontrollern verwendet werden kann.

Einen Link zur professionellen Entwicklungssoftware von Xilinx, die 
kostenfrei von der Webseite des Herstellers abgerufen werden kann. 
Webpack 8.2i kann ich empfehlen. Gegebenenfalls muss eine kostenfreie 
Registrierung erfolgen. 
http://www.xilinx.com/webpack/classics/wpclassic/index.htm

Zwei Beispielprojekte in VHDL, welche den Drehencoder, die 7 
Segmentanzeigen und den PS2 Anschluss des Experimentierboards verwenden. 
(prakt.vhd, prakt.ucf für die Pindefinition und prakt.jed bzw.ps2.vhd 
zum Download ) .

Zwei einführende Artikel, welche einige grundlegende VHDL Prinzipien 
verdeutlichen sollen und sich auf den Starterkit von Xilinx beziehen, 
das kleine Board ist aber wie gesagt anschlusskompatibel.

Die beiliegenden Photos geben einen Eindruck des fertigen Systems.

Viel Erfolg beim Experimentieren. Ich würde mich über gelungene VHDL 
Beispiele mit der Hardware sehr freuen.

von Axel S. (axelac)


Angehängte Dateien:

Lesenswert?

Und hier das Archiv mit den Dateien

von Sebastian (Gast)


Lesenswert?

Das ist aber ein niedliches Board. Und dazu sogar noch 
bastlerfreundlich. Ist die Tastatur etwa von Pollin?

von Axel S. (axelac)


Lesenswert?

Ja, gibts glaube ich auch bei Pollin

von Axel S. (axelac)


Lesenswert?

Ich habe noch 4 von den kleinen doppelseitigen Platinen übrig, die ich 
bei Interesse abgeben kann.

von Dieter E. (netdieter) Benutzerseite


Lesenswert?

Axel Schnell wrote:
> Ich habe noch 4 von den kleinen doppelseitigen Platinen übrig, die ich
> bei Interesse abgeben kann.

Hi Axel, falls Du noch ne Platine übrig hast ... ich würde mich dafür 
interessieren.
Gruß
netdieter

von Axel S. (axelac)


Angehängte Dateien:

Lesenswert?

> Hi Axel, falls Du noch ne Platine übrig hast ... ich würde mich dafür
> interessieren.
> Gruß
> netdieter

Die abgebildete CPLDPlatine ist in der Zwischenzeit bereits verbraucht 
bzw. vergeben, ich habe aber noch den Vorgängertyp (siehe beiliegende 
eagle .brd und .sch). Dafür einfach Adresse per email schicken, schicke 
ich dann im Briefumschlag (für lau).

von ajax (Gast)


Lesenswert?

>Falls ein Notebook ohne
>Parallelschnittstelle verwendet wird kann die Programmierung auch am
>kostengünstigsten zB. mit dem Presto USB Programmieradapter von ASIX (->
>GOOGLE) erfolgen,

Du meinst diesen hier?:
http://tools.asix.net/prg_presto.htm

Kostet knapp 100€, das ist schon ordentlich. Allerdings steht in der 
Liste der unterstüzten Devices kein CPLD. Da wäre die Frage, mit welcher 
Software müsste den der Presto betrieben werden, damit er über JTAG ein 
CPLD vernüftig programmieren kann.

von Axel S. (axelac)


Lesenswert?

Es wird die JTAG Player Software mitgeliefert. XILINX Webpack erzeugt 
eine XSVF Datei, die dann mit dem JTAG Player in den Baustein geladen 
werden kann. Ich habe noch keinen günstigeren USB Programmer für CPLDs 
gefunden.

von Bernd G. (bege)


Lesenswert?

Hallo,

warum nicht USB-Prog aus dem mikrocontroller-shop ?
http://www.embedded-projects.net/index.php?page_id=135

Die Software kann XSVF Files verarbeiten und das Ganze kostet als 
Komplettbausatz um die 30 EUR.

Gruß Bernd

von Axel S. (axelac)


Lesenswert?

Es gibt/gab wohl einige Einschränkungen, insbesondere läuft der XSVF 
Player wohl nur unter Linux.

von Oli (Gast)


Lesenswert?

Wieso so kompliziert, wenn am Schluss ja doch ein teures 
Programmierkabel für 100 Euro dazukommt? Da kann man sich ja gleich ein 
professionelles Board kaufen... Z.B. DE1 (mit Altera FPGA) von TerASIC 
(www.terasic.com) oder ein NEXIS Board (mit Xilinx FPGA) von Digilent 
(www.digilentinc.com).

Da hat mat ein Super Professionelles Board und einen etwas grösseren, 
moderneren Baustein. Programmierhardware ist bei den Boards inbegriffen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.