Forum: Markt Bausatz - Xilinx USB Platform JTAG Kabel


von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Ab sofort würde ich eine Sammelbestellung für den Xilinx USB Platform 
Cable Nachbau organisieren. Der Adapter besteht aus den gleichen 
Bauteilen wie das Original und ist somit Updatebar und kann alles was 
das Original auch kann.

Siehe dieser Thread dazu:
Beitrag "Xilinx USB schematic jetzt verfügbar"

Vielen vielen Dank an alle, die mitgeholfen haben und Fehler gemeldet/ 
gefunden haben.

Ich werde demnächst, wenn ich Zeit habe(arbeite gerade an der 
Abschlussarbeit),auch einen Artikel im Forum mit allen 
Informationen/Sourcen zur Verfügung stellen. Zurzeit ist dieser Link 
noch Aktuell:
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/


Alle die den Xilinx Programmer nachbauen wollen, können sich nun bei mir 
melden. Vorgesehen sind erst einmal 50Bausätze + einzelne Platinen.

Insgesamt wird folgendes angeboten:

50Euro - komplett bausatz(Platine + alle Bauteile) inkl. Gehäuse
45Euro - komplett bausatz(Platine + alle Bauteile) ohne Gehäuse
         Gehäuse könnte z.B. das von Reichelt AKG 55 24 100 ME verwendet 
werden. Dazu muss die Platine bis zur Markierung gekürzt werden. Bei 
eBay gibt es auch Industriegehäuse die 11cm lang sind, wo die Platine 
direkt hinein passt.

5,50Euro nur die Platine, für Leute bei denen die Bauteile vorhanden 
oder als Sample oder was weiß ich selbst beschafft werden.

Für einen Aufpreis von 5Euro könnten auch die beiden ICs(CPLD, FX2) 
aufgelötet werden mit Sichtkontrolle per Mikroskop und nach messen der 
Pins.

Es sind schon die großen/teuren Bauteile vorhanden (CPLD, FX2, ect.). 
Die restlichen kleinteile werden so eine Woche vor Versand an euch bei 
Farnell bestellt. Habe jetzt schon Geld vorgestreckt und muss erst 
einmal das Geld wieder reinbekommen. Ich denke man kann verstehen, dass 
ich nicht alles vorstrecke.

Grüße John-Eric

von olaf (Gast)


Lesenswert?

Ich will einen Bausatz ohne Gehaeuse haben. Schick
mir deine Kontodaten an olaf@criseis.ruhr.de
und ich ueberweise die Kohle.

Olaf

von Thomas T. (knibbel)


Lesenswert?

Hallo,

wäre gern dabei. Hab' dich parallel persönlich angeschrieben.

Gruß,
Thomas

von John-eric K. (mockup)


Lesenswert?

Habe dich gerade angeschrieben Thomas.
Olaf du bist vorgemerkt.

Bei mir wäre Überweisung oder Paypal möglich.

Gruß

von Thorsten F. (thorsten)


Lesenswert?

Hi,

Hatte den Thread verfolgt. Schönes Ergebnis.
Ich nehme ein DIY Kit mit Gehäuse für 50€. PN ist auch unterwegs.

Gruß

von Christian H. (netzwanze) Benutzerseite


Lesenswert?

Hallo,
ich bin auch mit einem Bausatz dabei.
PN ist unterwegs.

von zachso (Gast)


Lesenswert?

hej!

wirklich coole aktion, haste auch noch nen bild von dem gehaeuse/ haette 
interesse an einem vorgeloeteten bausatz. wenn mir das gehauese gefaellt 
meld ich mich bei dir

von Ralf H. (heschdy)


Lesenswert?

Hätte auch gern einen Bausatz, PN habe ich dir bereits geschickt...

von Uli N. (icepic)


Lesenswert?

Hallo John-Eric,
hätte auch gerne einen Bausatz ohne Gehäuse !! PN auch unterwegs..

Gruß Uli

von John-eric K. (mockup)


Lesenswert?

Hy Leute,
schön das es euch gefällt.

Stehen zur Zeit bei:

6x Komplett
3x ohne Gehäuse
2x Platinen

Aber ich denke da kommen noch ein paar mehr zusammen. Waren ja nur 2Tage 
bis jetzt.
Ich sammel erst einmal und schreibe euch dann noch mal an und gebe das 
hier bekannt. Alle die dann keine E-Mail bekommen haben können sich dann 
noch mal melden.

Gruß John-Eric

von Michael G. (linuxgeek) Benutzerseite


Lesenswert?

Cooles Projekt. Wenn allerdings das Komplettkit auf einen Gesamtpreis 
von EUR50 kommt, waere dies hier wohl eine Alternative: 
http://shop.trenz-electronic.de/catalog/product_info.php?cPath=30&products_id=588

Ist ein "offizieller" Nachbau des Xilinx Platform Cable USB. Ich hab es 
und es funktioniert wunderbar, hat wohl alle Features des Originals. Wie 
ich das verstanden habe stellt Xilinx das Original sowieso nicht mehr 
her (da Nachfolgemodell) und vertreibt dieses hier.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Michael G. schrieb:
> Cooles Projekt. Wenn allerdings das Komplettkit auf einen Gesamtpreis
> von EUR50 kommt,

genau Michael, zwischen 45-50 und ~75eur ist zwar kein so grosser 
unterscheid, allerdings die 25+eur kann man wunderbar in ein CPLD/FPGA 
dev board investieren.

>
> Ich hab es und es funktioniert wunderbar, hat wohl alle Features
> des Originals.

super, mache es bitte auf und poste ein paar bilder damit wir alle die 
unterscheide sehen können. Der nachbau hier ist 100% clone vom Xilinx 
DLC9G, laut Digilent ist XUP software kompatibel, wobei hardware neu 
entwicklung ist um kostengünstiger zu sein (leider auf kosten von 
firmware updates).

Xilinx produziert eigentlich seit 2008 keine DLC9 mehr, trotzdem ist der 
voll supported und es gibts bei jeder ISE version firmware 
verbesserungen. Sogar der neueste Spartan 6 board hat den DLC9 
integriert - der nachbau hier ist also zukunftsicher.

von Michael G. (linuxgeek) Benutzerseite


Lesenswert?

Thomas R. schrieb:

> genau Michael, zwischen 45-50 und ~75eur ist zwar kein so grosser
> unterscheid, allerdings die 25+eur kann man wunderbar in ein CPLD/FPGA
> dev board investieren.

Du meinst in einen Chip? :P
Naja mir wuerde es hier eher darum gehen, dass das Geraet auch 
sicherlich umfangreich getestet wurde. Aber dass Du mich nicht falsch 
verstehst: Du kannst natuerlich machen, was Du fuer richtig haeltst.

>> Ich hab es und es funktioniert wunderbar, hat wohl alle Features
>> des Originals.
>
> super, mache es bitte auf und poste ein paar bilder damit wir alle die
> unterscheide sehen können. Der nachbau hier ist 100% clone vom Xilinx
> DLC9G, laut Digilent ist XUP software kompatibel, wobei hardware neu
> entwicklung ist um kostengünstiger zu sein (leider auf kosten von
> firmware updates).

Aufmachen kann bzw. will ich den nicht. Das ist keine Kopie der 
Original-HW, weil der viel kleiner ist. Siehe hier:

http://coremelt.net/graphics/article_plogic/finished_board.jpg

> Xilinx produziert eigentlich seit 2008 keine DLC9 mehr, trotzdem ist der
> voll supported und es gibts bei jeder ISE version firmware
> verbesserungen. Sogar der neueste Spartan 6 board hat den DLC9
> integriert - der nachbau hier ist also zukunftsicher.

Hab ich etwas anderes behauptet? Die Vorteile des Platform Cable II 
haben sich mir auch nicht so ganz erschlossen.

Michael

P.S. Der Programmer ist seit meinem Kauf teurer geworden und die 
nochmals guenstigere Fassung fuer Studis gibt es bei Trenz nun auch 
nimmer.

von Kh L. (loeter)


Lesenswert?

Hallo John-Eric,

Ich bin auch an einem Komplettbaustatz interessiert. Ich such schon 
länger nach einem Adapter um die Xilinx-Teile über USB zu programmieren.

Eine Frage habe ich allerdings noch:
Auf der Platine sind ja einige programmierbare Bausteine. Aus dem Thread 
meine ich entnommen zu haben, dass der Xilinx über den Cypress 
programmiert wird. Ich hab aber in dem Thread nichts dazu gefunden, ob 
der Cylinx-Chip nochmal extra programmiert werden muss.

Welche Bausteine muss man programmieren, um die Platine in Betrieb 
nehmen zu können? Oder geht das alles über USB / Bootloader?

Gruß

      Kai

von John-eric K. (mockup)


Lesenswert?

Hallo Kai

Also Es muss bei Erstinbetriebname ein Jumper nicht gesetzt werden.
Damit meldet sich der FX2 an Windows als FX2 im update Modus an.
Jumper wieder rauf setzen.
Mit einem Extra Programm von Cypress kann man dann den Treiber 
installieren und danach den EPROM programmieren.(Also es muss nur der 
EPROM programmiert werden)
In diesem stehen im wesentlichen nur die VID(Vendor ID) und PID(Produkt 
ID) drinne. Ist der EPROM programmiert zieht man den JTAG-Adapter vom 
USB-Port ab und steckt ihn wieder ran. Der FX2 meldet sich an und es 
muss 2mal ein Treiber von Xilinx installiert werden. Beim ersten mal 
lädt sich der FX2 die Firmware und beim 2ten mal ist er Normal verfübgar 
als Xilinx USB-Cable.
Wenn ihr dann das Erste mal Impact öffnet, kommt eine Meldung das die 
Firmware upgedated werden muss. Das macht ihr und ab da an ist er voll 
einsatzbereit.

Hoffe das hilft dir und allen anderen weiter.

Gruß
John-Eric

von john (Gast)


Angehängte Dateien:

Lesenswert?

vereinfach ausgedrückt keine interaktion notwendig solange VID und PID 
einprogrammiert sind, Chipscope / ISE erkennen automatisch das firmware 
einprogrammiert werden muss und benötigen einen mausklich/Enter als 
bestätigung.

Die VID / PID programmierung ist unter windows möglich mit der software
CyConsole oder CyControl von Cypress (100mb?) - oder mit der software im 
Anhang (die noch einfacher ist).

- jumper ab
- board anschliessen und treiber installieren (ist dabei)
- FxEEPROM.exe starten (ist dabei)
- Programm Small EEPROM oder Programm Large EEPROM klicken (je nach 
welches ist verbaut, passiert nix böses falls falscher button geklickt)
- die iic file auswählen (ist dabei)
- das wars, jetzt board trennen, jumper wieder rein und schon sind 
VID/PID einprogrammiert.


Für linux gibts z.b. CycFX2Prog.

http://www.triplespark.net/elec/periph/USB-FX2/software/index.html

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

zachso schrieb:
> hej!
>
> wirklich coole aktion, haste auch noch nen bild von dem gehaeuse/ haette
> interesse an einem vorgeloeteten bausatz. wenn mir das gehauese gefaellt
> meld ich mich bei dir

Gehäuse (Eloxiertes Aluminium) ist die DIY1066 (ebay).

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Die optional passende Reichelt Gehäuse AKG-55-24-100-ME (in meinen Augen 
etwas wacklig) - dafür muss die Platine entlang der Markierung gekürzt 
werden.

von Alex H. (hoal) Benutzerseite


Lesenswert?

Thomas R. schrieb:
> Die optional passende Reichelt Gehäuse AKG-55-24-100-ME (in meinen Augen
> etwas wacklig) - dafür muss die Platine entlang der Markierung gekürzt
> werden.

Könnte das nicht potentiell Kurzschlüsse bei Berührung mit dem 
Gehäusedeckel geben?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Alex H. schrieb:
>
> Könnte das nicht potentiell Kurzschlüsse bei Berührung mit dem
> Gehäusedeckel geben?

Deswegen auch optional, standard gehäuse ist die DIY1066 wo die platine 
genau passend ist.

Damit die platine in mehrere gehäusetypen passt (u.a. AKG-55-24-100-ME 
)muss man nach dem kürzen evt. etwas abfeilen.

von Kh L. (loeter)


Lesenswert?

John-eric K. schrieb:
> Hallo Kai
>
> Hoffe das hilft dir und allen anderen weiter.

Yep das hilft mir weiter. Danke für die ausführliche Beschreibung.
Mir ging es hauptsächlich darum, dass man nicht einen Programmer braucht 
um den Programmer in Betrieb zu nehmen. Denn genau ein solches Problem 
habe ich bereits, und möchte es mit Deiner Platine lösen.

Ich nehme auf jedenfall einen Komplettbausatz inkl. Gehäuse.
Das Löten der Finepitch-ICs ist kein Problem.
Ich schick Dir gleich noch ne Mail zwecks Kontaktaufnahme.

Hast Du eigentlich schon ne Deadline gesetzt, zwecks Bestellungsannahme?
Möglicherweise weiss ich noch einen Interessenten.

Gruß
      Kai

von Marco B. (spirou)


Lesenswert?

Ich hätte Interesse an einem Bausatz ohne Gehäuse.

Gruß, spirou

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

Das hätte ich fast verpasst.

bin auch dabei.

ein Stück mit Gehäuse

von zachso (Gast)


Lesenswert?

die gehause sehen ja wirklich gut aus, ich haete also interesse an einer 
version wo die beiden grossen vorgeloetet sind und mit gehaeuse.
dazu erstmal noch2 frage; kannst du rechnungen mit ausgewiesener MWST 
erstellen?
und ist es richtig dass ichd as ding einfach an meinen (linux) rechner 
packe, das teil wird erkannt und dann benutze ich das mit impact wie 
jeden andern jtag-adapter?

wenn dem so ist kannste mich endgueltig vormerken:)

danke
zachso

von John-eric K. (mockup)


Lesenswert?

Update:
========

14x Komplett
4x ohne Gehäuse
7x Platinen

@Kai
Also ich würde sagen so 7.März rum.
Ich schreibe dann die am Wochenende an, die ich in meiner Liste habe.
Da hab ich mehr Zeit.

@ zachso
Also du musst halt einmal die Daten in den EPROM bekommen.
Danach verhält der Adapter sich wie das Original.
Rechnung muss ich noch mal schauen.

Gruß John-Eric

von Thomas R. (tinman) Benutzerseite


Lesenswert?

zachso schrieb:
> die gehause sehen ja wirklich gut aus, ich haete also interesse an einer
> version wo die beiden grossen vorgeloetet sind und mit gehaeuse.
> dazu erstmal noch2 frage; kannst du rechnungen mit ausgewiesener MWST
> erstellen?
> und ist es richtig dass ichd as ding einfach an meinen (linux) rechner
> packe, das teil wird erkannt und dann benutze ich das mit impact wie
> jeden andern jtag-adapter?
>
> wenn dem so ist kannste mich endgueltig vormerken:)
>
> danke
> zachso

einem gast kann niemand eine Rechnung erstellen, melde dich erst an.

Darf man fragen wozu du eine rechnung brauchst ? Eigentlich sind DIY 
kits für privat personen - und die brauchen selten rechnungen - vor 
allem nicht beim DIY-kit.

Wenn du für deine firma brauchst, melde dich an und schreibt mir eine 
nachricht, ich kann evt. dann eine Rechnung erstellen.

von John-eric K. (mockup)


Lesenswert?

So ich habe angefangen einen Artikel hier im Forum zu dem Thema zu 
ertsellen:
http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter

Habe ihn auch schon vom Artikel JTAG verlinkt.

Gruß John-Eric

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Hier noch ein paar Bilder zu dem was schon da ist
und von einem zusammmengebautem Programmer.

Gruß John-Eric

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Und noch die CPLDs und FX2.

Zurzeit stehen wir bei:

17x Komplett
4x ohne Gehäuse
8x Platinen

von Frank E. (erdi-soft)


Lesenswert?

Schade, dass man keine Antwort auf ne PN bekommt.

@John-eric K.:

Hatte dir vor ein paar Tagen ne PN geschrieben, leider bis heute keine 
Antwort.

von John-eric K. (mockup)


Lesenswert?

Ich wollte erstmal sammeln und das auf einmal machen.
Geht gleich raus an alle die mir geschrieben haben.

Gruß John-Eric

von John-eric K. (mockup)


Lesenswert?

Ich habe mal nach Versandkosten geschaut.

Das Höchste wäre das Gehäuse mit rund 25mm.
Es würde also als Versand der Maxibrief(Post) gehen für 2,20Euro.
Bei e**y gibt es Luftpolstertaschen Din A4 100er Pack für 18Euro die ich 
mir hole. Das wären 18Cent pro Versandtasche. Klebestreifen und anderes 
was mir grad nicht einfällt würde ich sagen Versandkosten 2,50Euro.

Die Platinen können als Brief Verschickt werden für
bis 50gr 1,10Euro
bis 500gr 1,50 Euro

Gruß John-Eric

von Arne Z. (zachso)


Lesenswert?

achso eine frage hab ich da noch: ist der JTAG-stecker im 2,54mm oder im 
2mm raster?
und sind die loecher in den endstuecken der platine schon drinne?

ciao,
zachso

von John-eric K. (mockup)


Lesenswert?

@zachso
ist im 2,54mm Raster

Was meinst du mit Endstücken?
Unter Beitrag "Bausatz - Xilinx USB Platform JTAG Kabel"
ist die Platine zusehen.
Befestigungslöcher sind vorhanden. Einmal 43mm Abstand und in die andere 
Richtung 92 oder 101mm je nachdem.

So ich habe an alle eine E-Mail geschrieben die mich angeschrieben 
haben.
Einige auch 2mal weil was vergessen oder Falsch war. ;-)


Gruß
John-Eric

von Arne Z. (zachso)


Lesenswert?

sorry ich hab quatsch geschrieben
ich meinte doe loecher in den endstuecken des gehaueses. also der 
laengliche schlitz fuer den JTAG und fuer USB.

von John-eric K. (mockup)


Lesenswert?

Ach die meinst du.
Nein die sind noch nicht drinne.
Die müsste jeder selber rein machen.

von Arne Z. (zachso)


Lesenswert?

jo gut, is ja auch kein problem dabei, schliesslich is das nen DIY kit 
;)

von rene (Gast)


Lesenswert?

Ich moechte ebenfalls einen Bausatz ohne Gehaeuse haben.
Die Kontodaten kannst Du mir an rene.linde(at)freenet.de schicken

Gruesse

Rene

von John-eric K. (mockup)


Lesenswert?

Hallo Leute.
Bitte vergesst nicht mir auch eure Kontaktdaten zu schreiben nach der 
Bestätigungsmail. Ohne die kann ich ja schlecht an euch verschicken.

Gruß John-Eric

von John-eric K. (mockup)


Lesenswert?

Hallo.

Also die meisten haben sich bis jetzt noch einmal gemeldet und viele 
haben auch schon überwiesen.
Vielen Dank für euer Vertrauen.

Die Farnell Bestellung ging Heute raus.
Erst Heute, weil Gestern hatte ich ein bisschen viel zu tun.
Ich denke aber auf diesen einen Tag kommt es nicht drauf an.
Unter Farnell war alles als Lieferbar angegeben.
Ich hoffe das stimmt soweit auch.

Die gepolsterten A4 Briefumschläge sind auch schon da.
Ich rechne damit, dass die ersten Bestellungen am 13.März
an euch rausgehen oder in der Woche da drauf dann.

Wo es noch ein kleines Problem gibt, ist das ich nicht mit so vielen 
Gehäusen gerechnet habe. Diese sind aber schon nachbestellt.

Es gäbe auch die Möglichkeit Stencel zu bekommen.
Diese müssten dann aber von Person zu Person weiter verschickt werden
und danach wieder an mich zurück.

Es wären immer noch ein paar Bausätze verfügbar.
Falls also noch jemand interesse hat, einfach melden.

John-Eric

von john (Gast)


Angehängte Dateien:

Lesenswert?

EDIT:

Es gäbe auch die Möglichkeit STENCIL zu bekommen.

von Tom N. (tom-nachdenk)


Lesenswert?

Kann man die Lötpaste nicht auf die Platinen drucken und das dann 
'vorbacken'? Habe mal derartiges von einer Firma als Angebot gelesen, 
oder gehört das zu den Sachen die die Welt nicht braucht und was 
womöglich auch nicht funktioniert?

von John-eric K. (mockup)


Lesenswert?

Naja ich denke das jeder lieber das Lötzinn verwenden sollte, welches er 
da hat. Den ein Mix verschiedener Zinne wäre wahrscheinlich nicht so 
gut. Diese Option ist ja eigentlich auch nur gedacht, falls einige das 
brauchen könnten.

Jede Platine vorzulöten wäre auch für die Handbestücker unschön.
SMD Widerstände werden bei mir an einem Pad vorverzinnt.
Dann der SMD-Widerstand damit ausgerichtet.
Die andere Seite gelötet und danach die erste noch einmal nachgelötet.
Sind beide Seiten vorverzinnt ist die Arbeit ungemein nerviger,
da beide Seiten gleichzeitig heiß gemacht werden müssten.

Deshalb werde ich die Platinen nicht vorverzinnen.

John-Eric

von Ralf H. (heschdy)


Lesenswert?

Hi,

könntest du den stencil mir bitte mitschicken? wäre super dann kann ich 
alles in den reflow werfen. obwohl, weißt du spontan den msl der cplds? 
und wie wurden die gelagert?

Gruß
Ralf

von john (Gast)


Lesenswert?

MSL level 3, die teile sind neu allerdigns pb versionen, die tray war 
luftdicht verpackt. Die sind vom einen grossen zulieferer aus NA, denke 
schon das die auch wissen wie man bauteile lagert.

von Ralf H. (heschdy)


Lesenswert?

ok, dann wäre es super wenn du mir den stencil mitschicken würdest.

von John-eric K. (mockup)


Lesenswert?

Ja OK Ralf.

Update von mir.

Die Auftragsbestätigung von Farnell hat mich gerade erreicht.
Produkte sind verfügbar und versandbereit.

Wunderbar.

Es sind immernoch ein paar Bausätze übrig.
Also bei Interrese einfach anschreiben.

Gruß John-Eric

von Thomas R. (tinman) Benutzerseite


Lesenswert?

F.Y.I.

Da es fragen bezüglich Digilent XUP cable und diesen clone hier, habe 
den Digilent XUP gekauft und hier über die unterscheide berichtet :

[Beitrag "Re: Xilinx USB schematic jetzt verfügbar"]

von Robert W. (Gast)


Lesenswert?

Hätte auch gern einen Bausatz ohne Gehäuse, muss ich dafür mich hier 
anmelden?

Robert

von Master S. (snowman)


Lesenswert?

@Robert W.:
du hast 2 möglichkeiten
- sich hier anmelden, was eine sache von 1-2min ist und klickst auf
  seinen namen und schreibst ihm eine PM
- du schreibst hier deine mail-adresse in eine von maschinen unlesbare
  form z.b. irgendjemand (äht) wo-auch-immer * de und hoffst, dass es
  John-eric liesst.

von Susi (Gast)


Lesenswert?

Hallo,

hätte auch gern einen Bausatz mit Gehäuse.
Bankverbindung bitte an amueller (@) anfatec.net

Danke
Susi

von John-eric K. (mockup)


Lesenswert?

@Robert W.
Ja genau wie snowman gesagt hat.

@Susi
hast Post

@alle

Farnell ist Heute angekommen.
Gestern war keiner zu Hause.

Die meisten haben auch schon überwiesen.
Vielen Vielen Dank für euer Vertrauen.

Ich werde jetzt erstmal schauen ob alles dabei ist.

Gruß John-Eric

von John-eric K. (mockup)


Lesenswert?

Hallo.

Ist es für euch OK, wenn ich die Pakete eine Woche später verschicke?
Ich habe gerade in meiner Bachelorthesis was entdeckt, was ich noch 
machen muss. Das bringt meinen Zeitplan ein bisschen durcheinander, da 
ich am 22.3. abgeben muss. Und ich will halt auch eine gute Note 
bekommen. Ist ja verständlich.

Ich würde dewegen den Dienstag, der 23.3. anvisieren.
Sodass ich Montags Morgens die Arbeit abgeben kann und danach in ruhe 
die Pakete packe. Soll ja auch nirgends etwas fehlen.

Die restlichen Gehäuse sind auch schon unterwegs zu mir. Und damit ist 
alles komplett. Ich habe auch schon angefangen mit der Verpackung, würde 
das jetzt aber wegen meiner Arbeit etwas hinten an stellen.

Ich würde mich sehr darüber freuen, wenn das OK für euch ist.
Da das etwas weniger Stress für mich bedeutet.

Gruß John-Eric

von Thomas T. (knibbel)


Lesenswert?

Hallo,

für mich wäre es kein Problem. Hauptsache, ich habe über Ostern was zum 
Löten... :-)

Gruß,
Thomas

von Ralf H. (heschdy)


Lesenswert?

Na klar, kein Problem. Kenn ich habe vor nem halben Jahr erst meine 
Dipl. Arbeit abgegeben... also, lass dich davon nicht abhalten. Das ist 
wichtiger als irgend so eine sammelbestellung

Gruß
Ralf

von Thomas R. (tinman) Benutzerseite


Lesenswert?

@An Alle

es sind nur noch einige wenige bausätze verfügbar. Wer noch eins haben 
möchte bitte eine PN dem John-eric K. (mockup) senden.

Als besonderes Dankeschön wird ein FPGA dev. board verlost*

Es geht um ein OHO-Elektronik GODIL board, eine perfekte lösung für 
Bastler die FPGA benutzen möchten.

Der gewinner darf wählen zwischen:
- GODIL_XC3S500E DIL FPGA module (bare module)
und
- GODIL50_XC3S250E DIL FPGA module (2 x 50 pin IDC)

Die beschreibung hier :
http://shop.trenz-electronic.de/catalog/product_info.php?cPath=1_48_136&products_id=636

http://shop.trenz-electronic.de/catalog/product_info.php?cPath=1_48_136&products_id=630

*Teilnahme bedingungen:
Zugelassen sind alle privatpersonen die ab heute dem 15.03 beim 
John-Eric ein Xilinx JTAG DIY Kit kaufen werden. Firmenkunden sind 
ausgeschlossen, diese Aktion ist gesponsort von mir und soll 
Studenten/Privatpersonen  einfachen einstieg in FPGA-Welt ermöglichen.

von Frank E. (erdi-soft)


Lesenswert?

Netter zug.

Natürlich schade, dass nur neue Besteller daran teilnehmen. ;)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

@Am alle,

ist das unfair ? Gut, da John-Eric auch anders haben will
mache ich anders:

____________________________________________________________________

Es sind nur noch einige wenige bausätze verfügbar. Wer noch
eins haben möchte bitte eine PN dem John-eric K. senden.

Als besonderes Dankeschön werden 5 Preise verlost*

1 - OHO-Elektronik GODIL FPGA dev. board
2-5 - Xilinx XC95288XL-6C-TQ144

Der 1ste gewinner darf wählen zwischen:
- GODIL_XC3S500E DIL FPGA module (bare module)
und
- GODIL50_XC3S250E DIL FPGA module (2 x 50 pin IDC)

Die beschreibung hier :
http://shop.trenz-electronic.de/catalog/product_in...

http://shop.trenz-electronic.de/catalog/product_in...

Die gewinner 2-5 bekommen jeweils ein Xilinx CPLD (XC95288XL-6C-TQ144) - 
das sind genau die die z.b. im MiniLA eingesetzt werden.


*Teilnahme bedingungen:
Zugelassen sind alle privatpersonen die beim
John-Eric einen Xilinx JTAG DIY Kit gekauft haben. Firmenkunden sind
ausgeschlossen, diese Aktion ist gesponsort von mir und soll
Studenten/Privatpersonen einfachen einstieg in FPGA-Welt ermöglichen.

von jor (Gast)


Lesenswert?

John-eric K. schrieb:
> Ich würde mich sehr darüber freuen, wenn das OK für euch ist.
> Da das etwas weniger Stress für mich bedeutet.

Ich hab da kein Problem damit und viel Erfolg bei deiner Arbeit!

@Thomas R.
coole Sache und vielen Dank!

von Michael N. (neumi)


Lesenswert?

Ich hab mich jetzt doch dazu durchgerungen auch einen Programmer zu 
kaufen, wer weiß wie lange mein Parallel-III noch unterstützt wird... ;)

@John-eric K.: du hast Post!

@Thomas R.: geniale Idee!

von John-eric K. (mockup)


Lesenswert?

Hallo Leute

Bachelor-Thesis ist abgegeben.
die ersten 10 Leute sollten demnächst Post bekommen.
Ich habe auch eine E-Mail an diejenigen verschickt.
Habe gerade die ersten abgeschickt und mach mich mal wieder an die 
Arbeit, die nächsten zu verpacken.

Gruß
John-Eric

von Christian H. (netzwanze) Benutzerseite


Lesenswert?

E-Mail ist angekommen; danke erstmal ;-)

von Guido (Gast)


Lesenswert?

Ha, Erster!

Mein Bausatz ist angekommen. Vielen Dank John-Erik.
Natürlich auch ein Dankeschön an alle anderen, die
mitgeholfen haben.

Gruß, Guido

von John-eric K. (mockup)


Lesenswert?

grins,
also die nächsten 16 hab ich vorhin zur Post gebracht.
E-Mails gehen gleich raus an die Leute.
Die Nächsten werden etwas länger dauern, da ich die kondies und 
Widerstände erst wieder machen muss, hatte da erst nur 25 vorbereitet. 
Und auflöten ist ja bei einigen auch noch.

Gruß John-Eric

von Gerhard W. (gewo)


Lesenswert?

von hier auch noch mal vielen Dank an John-Erik.
Bausatz ist angekommen.

Gerhard

von Thorsten F. (thorsten)


Lesenswert?

Auch hier ist der Umschlag angekommen. Nun gehts gleich ans 
zusammenbauen.
Gruß

von Thorsten F. (thorsten)


Lesenswert?

Nochmal ne Frage nachgeschoben:

Gibts eine Möglichkeit an den Bestückungsdruck zu kommen? Kann ja auch 
sein, dass ich den übersehen habe.
Ist nicht ganz trivial anhand der Fotos und dem Schaltplan alles richtig 
zu Bestücken.

Gruß

von John-eric K. (mockup)


Lesenswert?

Glaube doch ;-)

http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter#Best.C3.BCckungsplan

Hoffentlich alles erkennbar.

John-Eric

von Thorsten F. (thorsten)


Lesenswert?

Okay, hier in der Artikelsammlung hab ich natürlich nicht gesucht :) 
Dank dir.

von John-eric K. (mockup)


Lesenswert?

So die E-Mails an die 16 Leute sind raus.

von Alexander R. (Gast)


Lesenswert?

Mein Bausatz ist heute Mittag angekommen. Das Aufspielen der Firmware 
ging einwandfrei. Von mir an dieser Stelle auch ein Dankeschön, an alle 
die bei diesem Projekt beteiligt waren.

Und einen besonderen Dank an John-Eric, für den schön gepackten Bausatz 
und die Arbeit die er sich damit gemacht hat.

MfG Alex

von Daniel (Gast)


Lesenswert?

Hallo,

gibt es auch eine API um das Dingens auch für andere JTAG Aktionen 
einzusetzen?
XILINX rückt ja nix raus.

Grüße

von Christian H. (netzwanze) Benutzerseite


Lesenswert?

Briefumschlag ist angekommen - danke.

Leider habe ich erst am WE Zeit das Teil zusammenzubauen.

von John-eric K. (mockup)


Lesenswert?

@Daniel

http://ricreations.com/

Universal Scan kann mit dem Progger auch umgehen.
Ob es aber irgendwo eine Api gibt kann ich nicht sagen.

Gruß und Gute Nacht
John-Eric

von Wolfgang R. (portside)


Lesenswert?

Daniel schrieb:
> gibt es auch eine API um das Dingens auch für andere JTAG Aktionen
> einzusetzen?

http://urjtag.org kann das Kabel wohl auch. Aber die programmieren den 
CPLD um und angeblich ist es dann langsam. Wird dann wieder impact 
verwendet wird die Original Firmware wieder in den CPLD programmiert.

von Thomas T. (knibbel)


Lesenswert?

Hallo,

auch ich halte den Briefumschlag inzwischen in meinen Händen um werde 
die Platine am Wochenende bestücken. Vielen Dank nochmal, sieht alles 
klasse aus soweit.

Was ich aber bisher nicht gemerkt hatte: Das Gehäuse DIY1066 wird ja 
ohne Schrauben geliefert. (Stand aber auch in der Ebay-Beschreibung und 
auch John-Eric hat statt Senkkopfschrauben normale Schrauben verwendet 
:-) )

Kann mir jemand auf die Schnelle sagen, was für ein Gewinde im Gehäuse 
ist? M2 oder M2.5? Habe es im Moment nicht vor mir liegen...

Danke und Gruß,
Thomas T.

von Ralf H. (heschdy)


Lesenswert?

Hi, auch meiner ist gestern wohl angekommen. Vielen Dank für deine 
Arbeit.


Ist M2 das gewinde ist allerdings nur 6 bis 7mm tief + 1mm frontplatte

von Olaf (Gast)


Lesenswert?

Leider funktioniert mein Bausatz nicht, seufz.

Symptome sind hohe Stromaufnahme und warm werdender 3.3V 
Spannungsregler. Mit anderen Worten, es sieht nach einem Kurzschluss auf 
den 3.3V aus.

Ist vielleicht mal jemand so nett und schaut auf meine Platine damit ihm 
sofort ins Auge springt was ich selbst nach fuenfmaliger Kontrolle mit 
meiner dicksten Lupe nicht gefunden habe? Argh!


http://www.criseis.ruhr.de/unten.jpg
http://www.criseis.ruhr.de/oben.jpg

Olaf

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Olaf,

sind die sot23, sot23-6, sc70-5 bauteile und der eeprom richtig herum 
bestückt ? (und nicht vertauscht?).

EEPROM sieht auf dem bild falsch herum , kann aber am bild liegen.

von Thorsten F. (thorsten)


Lesenswert?

Ich will auch nicht unnötig auf die Stimmung drücken, aber ich denke ich 
habs auch nicht ganz richtig gemacht.

Generelle Treibergeschichte hat bei mir funktioniert, allerdings kommt 
Impact nicht ganz mit dem Device klar.

Initialize Chain bricht mit

write buffer failed 20000015.
Error reading reference voltage level.

ab.

Der Cypress wird merklich 'warm' aber nicht unangenehm heiss.

Komischerweise enumeriert sich das Device insgesamt 3 mal.
1. Firmware Loader
2. Xilinx USB Cable
3. Xilinx USB Cable

Stromaufnahme nach der Enumeration als USB2.0 Device: ~130mA
Stromaufnahme wenn Target verbunden: ~120mA
Stromaufnahme während 'Initialize Chain': ~210mA

Vergleichswerte wären interessant :)

Jemand ne Idee?
Gruß

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

an sonsten kannst du an der stelle im bild die +3.3VCC vom CPLD und den 
i/o teilen trennen, dann hängt nur der FX2, EEPROM und serien number 
chip am 3.3V

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Thorsten Fritzke schrieb:
> Ich will auch nicht unnötig auf die Stimmung drücken, aber ich denke ich
> habs auch nicht ganz richtig gemacht.

hehe, mein liebliengsteil beim solchen projekten :)

>
> Generelle Treibergeschichte hat bei mir funktioniert, allerdings kommt
> Impact nicht ganz mit dem Device klar.
>
> Initialize Chain bricht mit
>
> write buffer failed 20000015.
> Error reading reference voltage level.
>
> ab.
>

hat mich zwei tage gekostet, am ende war 1k statt 10k am LT (danke geht 
Far****),
aber vllt fangen wir so an :

Zusammengelötet, eeprom prgrammiert, Impact gestartet, z.b. Boundary 
scan gewählt, cable setup geklickt, CPLD firmware programmiert (kamm die 
msg box?) und danach geht initialize chain nicht ?

von Thorsten F. (thorsten)


Lesenswert?

Thomas R. schrieb:
> Zusammengelötet, eeprom prgrammiert, Impact gestartet, z.b. Boundary
> scan gewählt, cable setup geklickt, CPLD firmware programmiert

Das lief alles problemlos ab. Ich sehe auch im Advanced Setup die ID vom 
Onewire Chip.
VREF wird von der Hardware auf erkannt (Led grün).

Wenn ich dann Init Chain klicke kommen die genannten Fehler und das 
Device hängt sich weg (will sagen: Led wird nicht wieder orange, wenn 
man das Target trennt). Es hilft nur noch ein trennen vom USB.

von Olaf (Gast)


Lesenswert?

> sind die sot23, sot23-6, sc70-5 bauteile und der eeprom richtig herum
> bestückt ? (und nicht vertauscht?).

Naja, ich hab sie anhand der Bezeichnung von Johns Zettel verbaut und 
nicht das Markering persoenlich kontrolliert. Ich bin mir aber ziemlich 
sicher das ich einen Kurzen auf den 3.3V habe weil ich an jedem der 
Stuetzkondensatoen so 0.3Ohm messe. Das ist schon ein sehr satter 
Kurzschluss.

> an sonsten kannst du an der stelle im bild die +3.3VCC vom CPLD und den
> i/o teilen trennen, dann hängt nur der FX2, EEPROM und serien number
> chip am 3.3V

Auf den Gedanken bin ich auch schon gekommen. Aber es ist irgendwo 
unsensibel da gleich mit dem Messer dran zu gehen. :)

Ich hab das Teil jetzt mindestens noch fuenfmal mit meiner 30x Lupe 
Millimeterweise abgesucht und es sieht einfach alles bestens aus. ARGH! 
<mit Fuss aufstampf>

Olaf

von Wolfgang R. (portside)


Lesenswert?

Problem ist auch beim Original beschrieben, aber ohne wirkliche Lösung.
Wenn man das ganze unter Linux testet sieht man einen USB Fehler mit USB 
write control_msg  failed.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Thorsten Fritzke schrieb:
> Thomas R. schrieb:
>> Zusammengelötet, eeprom prgrammiert, Impact gestartet, z.b. Boundary
>> scan gewählt, cable setup geklickt, CPLD firmware programmiert
>
> Das lief alles problemlos ab. Ich sehe auch im Advanced Setup die ID vom
> Onewire Chip.

der hängt am FX2, muss nix bedeuten. Im impact sollte irgendwo in der 
statuszeile PLD version stehen, wenn da 00 oder FF steht hat der CPLD 
nur mist einprogrammiert gehabt.


> VREF wird von der Hardware auf erkannt (Led grün).
>

die geht auch auf FX2, kann aber immer noch verbindung zwischen FX->CPLD 
und CPLD-> I/O sein

> Wenn ich dann Init Chain klicke kommen die genannten Fehler und das
> Device hängt sich weg (will sagen: Led wird nicht wieder orange, wenn
> man das Target trennt). Es hilft nur noch ein trennen vom USB.

Wenn die PLD version = 0012h ist, sollte soweit ok sein (ISE10.x), dann 
guck mal ob beim initialize chain etwas zu sehen ist auf dem 
tck/tdi/tms.

Wenn ja, prüfe die verbindung vom TDO -> LT1719, LT1719 -> CPLD, und 
eigentlich alles was am LT1719 hängt. Vllt die D3 falsch drin ? Richtige 
R werte drin an den spannungsteilern?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wolfgang R. schrieb:
> Problem ist auch beim Original beschrieben, aber ohne wirkliche Lösung.
> Wenn man das ganze unter Linux testet sieht man einen USB Fehler mit USB
> write control_msg  failed.

Wolfgang,

welchen fehler meinst du ?

von Wolfgang R. (portside)


Lesenswert?

Thomas R. schrieb:
> Wolfgang R. schrieb:
>> Problem ist auch beim Original beschrieben, aber ohne wirkliche Lösung.
>> Wenn man das ganze unter Linux testet sieht man einen USB Fehler mit USB
>> write control_msg  failed.
>
> Wolfgang,
>
> welchen fehler meinst du ?

write buffer failed 20000015.
Error reading reference voltage level

von Thorsten F. (thorsten)


Lesenswert?

Ich benutze Webpack 11.1.
Xilinx Update (nach 11.5) läuft gerade noch. Ich reiche die Infos nach.
Ich meine aber sinnvollte Werte für die PLD Versionen gesehen zu haben.

von Thorsten F. (thorsten)


Lesenswert?

Nachtrag:

Welcome to iMPACT
iMPACT Version: 11.1
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
GUI --- Auto connect to cable...
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
PROGRESS_START - Starting Operation.
Connecting to cable (Usb Port - USB21).
Checking cable driver.
 Driver file xusb_xlp.sys found.
 Driver version: src=1029, dest=1029.
 Driver windrvr6.sys version = 8.1.1.0. WinDriver v8.11 Jungo (c) 1997 - 
2006 Build Date: Oct 16 2006 X86 32bit SYS 12:35:07, version = 811.
 Cable PID = 0008.
 Max current requested during enumeration is 74 mA.
Type = 0x0004.
 Retrying transfer, retry count = 1.
 Retrying transfer, retry count = 2.
 Retrying transfer, retry count = 3.
 Retrying transfer, retry count = 4.
 Retrying transfer, retry count = 1.
 Retrying transfer, retry count = 2.
 Retrying transfer, retry count = 3.
 Retrying transfer, retry count = 4.
 Retrying transfer, retry count = 5.
 Cable Type = 3, Revision = 0.
 Setting cable speed to 6 MHz.
Cable connection established.
Firmware version = 1029.
File version of C:/Xilinx/11.1/ISE/data/xusb_xlp.hex = 1303.
Firmware hex file version = 1303.
Downloading C:/Xilinx/11.1/ISE/data/xusb_xlp.hex.
Downloaded firmware version = 1303.
PLD file version = 0012h.
 PLD version = 050Dh.
PROGRESS_END - End Operation.
Elapsed time =      1 sec.
Attempting to identify devices in the boundary-scan chain 
configuration...
INFO:iMPACT - Current time: Fr 26. Mrz 22:21:57 2010
// *** BATCH CMD : Identify
write cmdbuffer failed 20000015.
Error reading reference voltage level.

So ganz sauber sehen die retry Meldungen aber auch nicht aus.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Thorsten Fritzke schrieb:
> Nachtrag:
> Downloading C:/Xilinx/11.1/ISE/data/xusb_xlp.hex.
> Downloaded firmware version = 1303.
> PLD file version = 0012h.
>  PLD version = 050Dh.

das ist schon schlecht, die version im CPLD is höher als file version,
da ist was falsch gelaufen beim prgrammieren (vllt kontaktiert nicht 
alles).

von Thorsten F. (thorsten)


Lesenswert?

Vielleicht ging durch eine evtl. wackelige Verbindung FX2->CPLD das 
Upgrade zwischendrin irgendwo schief. Allerdings ist genug im CPLD 
gelandet um das Auslesen der Firmware ID zu ermöglichen.
Ist sowas prinzipell möglich?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Thorsten Fritzke schrieb:
> Vielleicht ging durch eine evtl. wackelige Verbindung FX2->CPLD das
> Upgrade zwischendrin irgendwo schief. Allerdings ist genug im CPLD
> gelandet um das Auslesen der Firmware ID zu ermöglichen.
> Ist sowas prinzipell möglich?

das kann ich nciht beantworten, wie die version abgefragt wird weiss ich 
nicht. Allerdings hatte ich irgend ein wert als ich ein CPLD genommen 
habe der schon mit irgendetwas programmiert war. Auch ohne CPLD kommt 
wenn 0000h, so gesehen keine prüfung ob kommunikation stimmt.

Wenn du zweites jtag kabel hast kannst natürlich den CPLD direkt 
programmieren oder mindestens erasen.

von Thorsten F. (thorsten)


Lesenswert?

Okay, scheint Lehrgeld zu werden.
Der Versuch die Verbindungpins zwischen FX2 und CPLD nachzulöten ging 
ziemlich schief. Mal sehen ob ich da Morgen nochmal einen klaren Kopf 
für habe.
Danke dir trotzdem schonmal.

von John-eric K. (mockup)


Lesenswert?

Also die Beschriftung und die aufgeklebten ICs sollten stimmen.

Ich hab mir die extra in eine Dose mit extra Fächern in genau der 
Reihenfolge reingetan, wie sie auf dem Blatt sind.
Um diese nach Reihenfolge aufzukleben um Fehler zu vermeiden.
Blatt wurde auch komplett beklebt und in die ESD-Tütte danach gepackt.
Es fehlt auch nirgends ein IC, sodass man denken könnte, das einer einen 
Falschen bekommen hat.

So werde mich gleich mal an das Verpacken der Restlichen Bausätze 
machen.
Will die Eigentlich alle Montag dann zur Post bringen.

Gruß John-Eric

von Thomas T. (knibbel)


Lesenswert?

Hallo zusammen,

so, bin fertig mit dem Löten und habe die Platine vor dem Anschluß an 
den PC erst mal direkt mit 5V versorgt (Pin 1 und 2 von IC13 bieten sich 
hierfür an). Ergebnis: ca. 100mA. Ähnlich zu den 120mA von Thorsten 
Fritzke weiter oben.

Treiberinstallation war auch hier problemlos.

Impact erkennt auch die Platine. "Advanced USB Cable Setup" zeigt mir 
auch die Seriennummer vom DS2411. Während Impact im "Advanced USB Cable 
Setup"-Dialog ist blinkt die 2-Farb-LED. Die Seriennummer erscheint mir 
"etwas" merkwürdig, da eigentlich das letzte Byte laut Datenblatt 01h 
sein soll: Meine Seriennummer ist: "12000003D95881" Sind allerdings auch 
nur 7 Bytes, die angezeigt werden. Sind eure Seriennummern ähnlich?

Habe aber jetzt, wie auch Thorsten Fritzke, das Problem, dass ich (bzw. 
Impact) das CPLD offensichtlich nicht programmieren möchte, da die "PLD 
version größer 0012h ist. Bei mir übrigens beim Einstöpseln an USB: 
777Dh, danach immer 177Dh.

Das bekomme ich angezeigt (bei "Cable Auto Connect"):

GUI --- Auto connect to cable...
AutoDetecting cable. Please wait.
PROGRESS_START - Starting Operation.
Connecting to cable (Usb Port - USB21).
Checking cable driver.
 Driver file xusb_emb.sys found.
 Driver version: src=1029, dest=1029.
 Driver windrvr6.sys version = 10.1.0.0. WinDriver v10.10 Jungo (c) 1997 
- 2009 Build Date: Sep  2 2009 X86 32bit SYS 12:13:52, version = 1010.
 Cable PID = 0008.
 Max current requested during enumeration is 74 mA.
Type = 0x0004.
 Cable Type = 3, Revision = 0.
 Setting cable speed to 6 MHz.
Cable connection established.
Firmware version = 1303.
File version of C:/Xilinx/11.1/ISE/data/xusb_xlp.hex = 1303.
Firmware hex file version = 1303.
PLD file version = 0012h.
 PLD version = 177Dh.
PROGRESS_END - End Operation.
Elapsed time =      0 sec.



Hmmm, irgendwelche Ideen? An schlechte Lötverbindungen mag ich hier 
nicht glauben, da mein Ergebnis ähnlich dem von Thorsten Fritzke ist. 
Habe auch schon versucht ein Target-Spartan3 auszulesen. Das schlug 
allerdings fehl, da ich glaube, das der Coolrunner auf der Platine noch 
kein Bitfile intus hat.

Gruß,
Thomas T.

von Thorsten F. (thorsten)


Lesenswert?

HA! grins

Thomas T. schrieb:
> Habe aber jetzt, wie auch Thorsten Fritzke, das Problem, dass ich (bzw.
> Impact) das CPLD offensichtlich nicht programmieren möchte, da die "PLD
> version größer 0012h ist. Bei mir übrigens beim Einstöpseln an USB:
> 777Dh, danach immer 177Dh.

Das kam mir auch ein wenig suspekt vor.

Mein CPLD sieht durch meine Löterei zwar ziemlich wüst aus, er hats aber 
scheinbar überlebt.

Ich habe mal ein wenig rumgegoogelt und beim Xilinx Support einen 
Eintrag gefunden, mit dem man Impact dazu zwingen kann den CPLD neu zu 
programmieren.

   1. Open a DOS shell and set the environment variable by entering:
      SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=TRUE
   2. Start iMPACT by entering impact in the DOS shell.
   3. Select Xilinx USB Cable from the Cable Communication Setup dialog 
box and wait for the update to be completed.
   4. Exit iMPACT.
   5. Clear the environment variable in the DOS shell by entering:
      SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=

Impact guckt wohl nur ob die ausgelesene ID größer der ID des PLD Files 
ist und möchte dann nicht upgraden.

Ich kann die JTAG Chain jetzt sehen und die etwas mysteriösen retry 
Meldungen sind auch erstmal dahin.

Ich werde mal ein bisschen VHDL zum testen zusammenschustern und melde 
mich wieder.

Gruß

von John-eric K. (mockup)


Lesenswert?

Also meine Serial Nummer sieht so aus
Beitrag "Re: Xilinx USB schematic jetzt verfügbar"

Mein Progger braucht nur an 5V angeschlossen ungefähr 20-30mA.

Kommt durch die falsche Programmierung vielleicht ein Kurzschluss der 
Leitungen zustande? Das deshalb euer Strom so groß ist?

Thorsten ich schreibe das mal in den Artkel mit hinein.

von Thorsten F. (thorsten)


Lesenswert?

Temperatur vom Cypress ist merklich runtergegeangen. Vielleicht ist das 
wirklich ein Nebeneffekt vom unprogrammierten CPLD.

von Thomas T. (knibbel)


Lesenswert?

DANKE, Thorsten!!!!!

Diese Prozedur sollte wohl auch auf die Projektseite.

Bei mir sieht jetzt soweit auch alles ganz o.k. aus. Ich kann ebenfalls 
die JTAG-Chain sehen.

Keine Fehlermeldungen mehr.

Um sicherzugehen, das der Rest vom EEPROM leer ist, habe ich die 
xlinx_xup.iic um 248 FF-Bytes erweitert. Ausserdem hat R34 bei mir 47 
Ohm, da mir einer von den 30 Ohm-Widerständen beim Auspacken wegflog. 
:-( Ich denke aber, das ist erstmal egal ...


Ich glaube, ich reinige die Platine jetzt erstmal vom Flußmittel ...

Gruß,
Thomas T. (der sich jetzt erstmal eine Pause gönnt ...)

von John-eric K. (mockup)


Lesenswert?


von Olaf (Gast)


Lesenswert?

Ich wollte nur mal erwaehnen das ich jetzt die Versorgung an mehreren 
Stellen unterbrochen habe. Leider hilft einen das bei der Fehlersuche 
nicht soviel weiter wie man zunaechst glauben sollte. Das liegt daran 
das der Prozessor und das FPGA an vielen Stellen versorgt werden und 
diese Leitung dadurch wieder verbinden.

Es waere vielleicht ganz praktisch wenn man ein Layout haette wo der 
3.3V Layer farblich abgehoben ist damit man auf einem Blick sieht er 
ueberall hingeht.

Olaf

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Anbei hervorgehobene Signal 3,3V

braun oben
pink  unten

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Hach was vergessen.
Neu

von loeter (Gast)


Lesenswert?

Hi,

Das mit dem Auftrennen der Vcc-Leitungen halte ich nicht für ne gute 
Idee. Das CPLD hat wie jeder CMOS Chip sicher an seinen Eingängen 
Schutzdioden gegen Vcc und GND. Trennt man nun Vcc auf, und legt an 
einen der Eingänge logisch High an, so wird das CPLD quasi von Hinten 
durch die Brust ins Knie (also über die Schutzdioden) wieder mit Saft 
versorgt.
Ist dann der Strom an dem betreffenden Eingang nicht begrenzt, kann das 
den Chip schädigen, oder so lustige Sachen wie Latch-Up hervorrufen.

Gruß

     Kai

von Thomas T. (knibbel)


Lesenswert?

@Olaf:

Das EEPROM sollte von dem 0-Ohm-Widerstand aus gesehen lesbar sein, von 
der USB-Buchse aus gesehen sollte die Schrift auf dem Kopf stehen.

Ich denke, wenn du derartig niedrige Werte misst, hast du einen direkten 
Kurzschluß zwischen der 3,3V-Bahn und Gnd. Auf den Fotos ist leider nur 
die 3,3V-Bahn markiert, die GND-Anschlüsse sind nicht wirklich klar 
erkennbar. Auch stören in diesem Fall alle anderen Leitungen die Suche.

Wie hast du gelötet? Mit Lötpaste und evtl. ohne Stencil? Mit Heissluft 
oder mit dem Lötkolben? Hast du das CPLD und den FX2 selbst drauf 
gelötet? Kann es sein, dass bei den Bauteilen auf der Innenseite durch 
Lötpaste Brücken sind, wo an benachbarten Pins 3,3V und Gnd sind?

Ansonsten ist das auf den Fotos gezeigte eigentlich in Ordnung ...

Gruß,
Thomas T.

von Olaf (Gast)


Lesenswert?

> Das mit dem Auftrennen der Vcc-Leitungen halte ich nicht für ne gute
> Idee.

Das geht schon in Ordnung. Allerdings darf man dann natuerlich keine 
Spannung anlegen. Ich messe immer mit dem Multimeter mit 200mV.

Spannung lege ich da erst wieder an wenn ich alles wieder verbunden 
habe.

> Ich denke, wenn du derartig niedrige Werte misst, hast du einen direkten
> Kurzschluß zwischen der 3,3V-Bahn und Gnd.

Das denke ich auch. Ich messe immer so 0.80-0.90 Ohm wobei 0.15-0.20Ohm 
bereits meine Messleitung und Uebergangswiderstand an den Spitzen ist.

So langsam habe ich mich bis zum FPGA durchgemetzgert. Ich glaube bald 
ich muss das nochmal runter nehmen.

> Wie hast du gelötet?

Einfach von Hand mit 0.3mm Loetzinn. .-)

> Kann es sein, dass bei den Bauteilen auf der Innenseite durch
> Lötpaste Brücken sind, wo an benachbarten Pins 3,3V und Gnd sind?

Das habe ich schon mindestens fuenfmal kontrolliert. Ich denke 
mittlerweile entweder ist mein FPGA dahin, allerdings kann ich mir da 
keinen Grund fuer vorstellen, oder es gibt einen Kurzschluss im Layout 
unter dem FPGA.

BTW: Ich weiss garnicht wieso ein FPGA ueberhaubt soviele VCC 
Anschluesse hat, so niedohmig wie die verbunden sind. ARGH! Es ist 
messtechnisch nicht moeglich zu erkennen von welchem Anschluss der 
Kurzschluss ausgeht, dabei kann ich immerhin bis zu zwei Stellen nach 
dem Komma messen.

Olaf

von Olaf (Gast)


Lesenswert?

Ich hab jetzt mal alle Vcc/Vaux Anschluesse des FPGAs abgeloetet und 
0.2mm<seufz> hochgebogen.

VCCIO2 (Pin98/88) ist okay, aber alle anderen Versorgungspins haben 
gegen Masse zwischen 1.2 und 2Ohm. Das kann ja eigentlich nur bedeuten 
das der FPGA platt ist. Ich hab zwar keine Erklaerung wies, zumal es mit 
Vaux, VCCIO1 und Vcc gleich drei unabhaengige Bereich betrifft, aber ich 
muss wohl erstmal einen anderen FPGA auftun.

Olaf

von John-eric K. (mockup)


Lesenswert?

So die restlichen Bausätze sind alle verpackt.
Werde die am späten Nachmittag Montag zur Post bringen.

Gruß John-Eric

von zachso (Gast)


Lesenswert?

Hallo!

ich habe gerade meinen Bausatz bekommen und aufgebaut und werde ihn auch 
gleich testen, habe aber eine kurze frage: wie rum muss die LED da rein? 
also wie ist es gedacht? der mittlere lange ist ja GND denke ich, und 
welche muss links, und welcher ins rechte loch?

ciao,
Zachso

von Guido (Gast)


Lesenswert?

> wie rum muss die LED da rein?

Die abgeflachte Seite zum Pfostenstecker hin.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

im schlimmsten fall wird rot beim "vtarget vorhanden" und grün beim 
"firmware update" leuchten. Gelb beim "kein vtarget" wird so oder so 
sein :)

von zachso (Gast)


Lesenswert?

hallo!

ich hab jetzt den ganzen bausatz zusammen gebaut, gereinigt und dann die 
firmware an bord gebracht. Hat so weit alles funktioniert, einziges 
Problem ist jetzt: er identifiziert mein device nicht, also er kann 
keinen Boundary-Scan durchfuehren also zumindest nicht erfolgreich :-/
die fehlermeldung hab ich mal hier gepostet:
http://nopaste.info/4f329027ac.html

kurz zusammengefasst: er sagt mir dass was an der hardware falsch waere, 
die laeuft aber ganz sicher, das einzige was fehlt ist dass der INIT-Pin 
nicht verbunden ist (ist am kabel nicht dran). ich habe leider kein 
anderes board als dieses so dass ich auch keine andere 
JTAG-Schnittstelle hab um zu probiern obs nun am fehlenden Pin liegt 
oder ich was falsch geamcht habe beim aufbau, hat jemand ne idee?

ciao,
zachso

von Thomas R. (tinman) Benutzerseite


Lesenswert?

zachso schrieb:
> hallo!
>
> ich hab jetzt den ganzen bausatz zusammen gebaut, gereinigt und dann die
> firmware an bord gebracht. Hat so weit alles funktioniert, einziges
> Problem ist jetzt: er identifiziert mein device nicht, also er kann
> keinen Boundary-Scan durchfuehren also zumindest nicht erfolgreich :-/
> die fehlermeldung hab ich mal hier gepostet:
> http://nopaste.info/4f329027ac.html

Du hast es aber an irgendein Xilinx board angeschlossen und LED leuchtet 
grün ?

>
> kurz zusammengefasst: er sagt mir dass was an der hardware falsch waere,
> die laeuft aber ganz sicher, das einzige was fehlt ist dass der INIT-Pin
> nicht verbunden ist (ist am kabel nicht dran).

INIT pin ist für boundary scan nicht relevant, daran kann also nciht 
liegen.

Am einfachsten Scope/DMM/LA an TDO anschliessen (an dem kabel/buchse 
erst) und initialize chain nochmal starten. Da muss was zurück kommen - 
wenn nciht ist etwas auf dem target board nicht richtig (oder kabel 
kaputt).

Wenn das geht dann an dem LT1719 messen - erst am eingang pin3 (und 
wieder chain scannen), und dann am ausgang -pin5. Wenn das alles geht 
dann am CPLD pin 27.

von zachso (Gast)


Lesenswert?

nein, kein xilinx board sondern eines von enterpoint (mit 2 Xilinx 
FPGAs), und das wird auch mit einem Parport-Adapter alles erkannt. ich 
werde morgen mal alles kontrollieren ob alles richtig bestueckt ist, 
falls ja und das das problem nicht loest dann were ich den adapter 
naechste woche mit in die uni nehmen und da mal messen was du gesagt 
hast und dann wieder hier berichten, habe leider nur ein multimeter zu 
hause.

danke
zachso

von gasty (Gast)


Lesenswert?

moin,

ich hab mein bausatz gestern auch bekommen und gleich zusammengebaut :) 
ging soweit alles problemlos (btw. guter tip mit dem 
XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=TRUE die versionsnummer von cpld 
war auch hoeher bei mir).

nur hab ich momentan das gleiche problem wie zachso. fuer die 
fehlersuche waeren hochaufloesende bilder der platinenseiten super. hat 
da jemand vielleicht was ?
weiter oben hat zwar olaf schon welche gepostet nur soweit ich weiss 
funktioniert seiner nicht und gegen den zu pruefen ist vielleicht nicht 
so gut.

leider hatte ich gestern abend keine zeit mehr genauer das problem zu 
untersuchen. aber ich werd nachher mal in der mittagspause die bereits 
gegebenen tipps verfolgen.


ansonsten. schon mal vielen dank an john-eric fuer seine muehe. war 
super zu loeten und alles bautteile dabei. danke :)



wenn er dann noch funktioniert bin ich gluecklich. aber dazu spaeter 
mehr.

lg:)

von gasty (Gast)


Lesenswert?

moin,

hab eben mal geschaut. und nun geht es:)

ich hatte tdi und tdo verdreht...anscheinend war ich wohl gestern nicht 
mehr so ganz aufmerksam... sehr cool !

aber mal weiter beobachten ob es stabil laeuft zumindest konnte ich mein 
95144 cpld 3 mal hintereinander programmieren. vorher hatte ich den 
radig progger benutzt und immer mal wieder probleme gehabt das auf ein 
mal das device mehr geschrieben werden konnte oder der bounary scan 
nicht klappte. irgendwann gings dann wieder.

gibt es denn ne richtlinie wie lang das kabel sein darf ?... ich hab 
moment so ca. 7 cm kabel (flachband und bis fast zum ende jede zweite 
ader masse)...


lg :)

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Also ich hab ca 20cm Flachbandkabel.

Der Originale ist auch ungefähr so lang.

Was haltet ihr von meinem Aufkleber?
Gleich mal ausdrucken und schauen wie es Aussieht.

Hab hier das Reichelt Gehäuse.

War Gestern noch bis halb 1 in der Gerage die Aussparungen zu feilen. 
;-)

John-Eric

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

So meiner ist Fertig.

Hoffe das Eure auch Gestallt annehmen.

John-Eric

von gasty (Gast)


Lesenswert?

oki...

weil 7 cm ist doch etwas unhandlich was werd ich noch mal aendern...

meiner ist nu fertig ... gefeilt hab ich vorhin noch fix... ok fast 
fertig schrauben fehlen noch...


aber geht super bisher ! ;)

schick @aufkleber...

von Guido (Gast)


Lesenswert?

Hmpfh, die LED doch aufrecht. Sieht wirklich gut aus, da muss ich
mal schauen, wie ich das mit der Bohrung hinbekomme. M2x10-Schrauben
gehen, wenn man 1 bis 2 als Gewindeschneider missbraucht.

Sind noch Bausätze verfügbar? Dann mache ich mal Werbung:
Das Gerät funktioniert auch wunderbar unter Linux. Getestet mit
Ubuntu 9.irgendwas und ISE 9.2. Etwa viermal so schnell wie mein
bisher verwendeter SVF-Player.

Gruß, Guido

von John-eric K. (mockup)


Lesenswert?

Das mit der LED ist ja jedem selbst überlassen.

Ne sind keine mehr da, nur noch Platinen. 50 waren angedacht und die 
sind weg.

Vielleicht in absehbarer Zeit nochmal wenn genug zusammen kommen.

Habe grad den Artikel noch überarbeitet.
Layout ist dort nun auch verfügbar.

Gruß John-Eric

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Guido (Gast) schrieb:

> Sind noch Bausätze verfügbar? Dann mache ich mal Werbung

Ich habe nur noch ein fertig aufgebautes (der prototyp mit dem final 
layout) falls jemand unbedingt haben will.


John-eric K. schrieb:

> Ne sind keine mehr da, nur noch Platinen. 50 waren angedacht und die
> sind weg.
>

!! Also zeit für die Verlosung !!

von John-eric K. (mockup)


Lesenswert?

So die Verlosung:
=================

Platz 1:  rickdangerus
Platz 2:  tom-nachdenk
Platz 3:  thorsten
Platz 4:  greeny
Platz 5:  portside

=================

Platz 1:   GODIL_XC3S500E DIL FPGA module (bare module)
           oder
           GODIL50_XC3S250E DIL FPGA module (2 x 50 pin IDC)
Platz 2-5: XC95288XL-6C-TQ144

=================

Verschickt werden die Sachen von tinman.
Ich werde ihm eure Adressen zu kommen lassen.
Wer ein Problem damit hat, kann sich bei mir bis Sonntag melden.
Dann wird der Platz an jemand anderem vergeben.

Ich schicke noch an die 5 eine E-Mail raus.

Gruß und Glückwunsch
John-Eric

von Wolfgang R. (portside)


Lesenswert?

John-eric K. schrieb:
> So die Verlosung:
> =================
>
> Platz 5:  portside
>

Hallo
mein CPLD kann man weitergeben. Ich teste gerade Minila mit 
CoolrunnerII.

von Thomas T. (knibbel)


Angehängte Dateien:

Lesenswert?

Frohe Ostern zusammen,

etwas ruhig ist es hier geworden, finde ich. Bei rund 50 Bausätzen hätte 
ich mit etwas mehr Resonanz hier gerechnet.

Ich habe mir in der Zwischenzeit ein Adapterkabel für das Spartan 3 
Starter Board gebaut. Anbei ein paar Fotos zum Nachbauen.

Ich hätte zwar gerne den 14-poligen Anschluß auf dem Board verwendet 
(mit einem 1:1-Kabel), allerdings ist die Stiftleiste im 2mm-Raster. 
Gibt es da vielleicht Adapter für?

Noch einen ruhigen Ostermontag wünscht
Thomas T.

von N. S. (sharpay)


Lesenswert?

Thomas T. schrieb:
> etwas ruhig ist es hier geworden, finde ich. Bei rund 50 Bausätzen hätte
> ich mit etwas mehr Resonanz hier gerechnet.

Habe keinen Bausatz bestellt (nur 3x Leiterplatte). Der erste Programmer 
ist inzwischen aufgebaut und lief auf anhieb.

von Ralf H. (heschdy)


Angehängte Dateien:

Lesenswert?

Habe mir eine kleine Adapterplatine gemacht, bzw lasse ich mir machen.

CON1 ist eine gewinkelte Buchse welche ich direkt in den Programmer 
stecken kann.

CON2 ist eine 2mm Stiftleiste (Orginal Xilinx Typ)

CON3 ist eine 2,54mm Stiftleiste zum manuelle Stecken.

Gruß
Ralf

von John-eric K. (mockup)


Lesenswert?

@portside
Gut ich hab einfach auf die Tabelle getipt und da war der Benutzer: dose
der den Chip jetzt bekommt.

@Ralf
sieht gut aus.

von Olaf (Gast)


Lesenswert?

> etwas ruhig ist es hier geworden, finde ich.

Tja, ich habe bei mir jetzt den FPGA runtergenommen
und meine Platine braucht nun nur noch 20mA.
Das Teil ist also wirklich platt. Warum auch immer. :-o

Jetzt warte ich erstmal auf den Ersatz....

BTW: Betreibt Xilinx da nicht ganz schoen viel Aufwand nur um
ein paar Bauteile zu brennen? Oder wollten die einfach
nur mal eines von ihren eigenen Bauteilen verwenden. :)

Olaf

von Guido (Gast)


Lesenswert?

> etwas ruhig ist es hier geworden, finde ich.

Ja, die Dinger funktionieren halt einfach. :-)
Hoffentlich auch bei den anderen Bestückern.

Glückwunsch an die Gewinner von tinman's Sonderverlosung!

@ Olaf: Für das Ersatzteil suche bitte nach CPLD, mit
FPGA wirst du nicht fündig werden ;-)

von zachso (Gast)


Lesenswert?

meins hat leider auch nicht funktioniert, vom iMPACT wirds erkannt aber 
arbeiten tuts nicht (richtig). inzwischen haben ich auch 4 bauteile beim 
nacloeten geschrottet so dass cih erstmal auf ersatz warten muss bevor 
ich weiter schauen kann woran das liegt, aber es freut mich zu hoeren 
dass es woanders funktioniert :)

ich wollte da auch mal fragen: was bedeutet es wenn die led durchgaengig 
rot leuchtet nah dem anstecken bzw. geld und rot leuchtet? ich glaube 
ich habe sie jetzt richtig rum drinne so dass ich mir ein wenig sorgen 
mache um meinen restlichen aufbau (also alles ausser die kaputten 
teile).

ciao, zachso


ps: ich werde mir auch noch einen adapter auf 2.0 mm bauen, allerdings 
werde ich es so machen dass ich den adapter ans target stecke und nicht 
an den DLC9, dann braucht man nur 1 kabel und einen kleinen adapter, das 
duerfte handlicher sein

von Daniel (Gast)


Lesenswert?

Mein Programmer läuft inzwischen auch einwandfrei, was eigentlich an ein 
Wunder grenzt. Ich wollte bzw. habe den Adapter im Reflowofen gelötet. 
Dabei ist dann dummerweise der FX2 abgefallen und auch noch auf dem 
Heizstab gelandet ;) Habe das aber sofort gemerkt und den von der 
Heizung runtergekickt. Hatte schon das schlimmste befürchtet, aber der 
scheint das überlebt zu haben, denn wie schon geschrieben funktioniert 
alles, zumindest soweit wie ich das beurteilen kann. Habe bisher noch 
nicht mit Impact gearbeitet und muss mich da erstmal reinarbeiten, aber 
z.B. die Device-ID von nem CoolrunnerII auslesen funktioniert, von daher 
gehe ich davon aus, dass der Rest auch funktionieren wird.


Ist das richtig, dass der 0 Ohm Widerstand übrig bleibt? Habe da keine 
Info zu gefunden, aber ich gehe mal davon aus, dass das ein kleines 
eeprom ist, und daher keine Brücke gesetzt werden muss?

von Thomas R. (tinman) (Gast)


Lesenswert?

Daniel,
Ja das mag sein, je nach dem welches EEPROM benutzt wird. Im prinzip 
egal da dein board schon funktioniert.

Olaf,
Die CPLDs (speed rating 6) sind in .de kaum bis gar nicht 
bezahlbar/lieferbar. Frag John-Eric, es kann sein das er noch ein CPLD 
hat, an sonsten kann ich dir den prototyp (fertig gelötet und getestet) 
für ein paar eur abgeben (bevor du noch mehr kaputt lötest, nicht böse 
gemeint :)

Zachso,
Die led falls richtig drin sollte gelb leuchten sobald die usb 
verbindung steht (aber noch nix angeschlossen). Rot nur wenn firmware 
programmiert wird. Wenn es abwechslnd leuchtet, ehm, kann sein das du 
die "force update" gesetzt hat und nicht gelöscht? Dann wird der 
programmer  booten, impact wird firmware updaten und neu bootetn  . ...

von Olaf (Gast)


Lesenswert?

> Die CPLDs (speed rating 6) sind in .de kaum bis gar nicht
> bezahlbar/lieferbar.

Hm..ich hab den schon bestellt.

> (bevor du noch mehr kaputt lötest, nicht böse
> gemeint :)

Ich hab den nicht kaputt geloetet. Der ist so gewesen. <mit Fuss 
aufstampf>

Also ne, da habe ich schon ganz andere Sachen geloetet wie dieses Teil. 
Der hat im uebrigen auch im ausgeloeteten Zustand so 1Ohm zwischen 
seinen Versorgungsanschluessen. Ich glaube wenn ich das naechste mal 
einen 1Ohm Widerstand brauche dann muss ich den mal probieren. :-D

Olaf

von Mathias H. (mathew)


Lesenswert?

John-eric K. schrieb:
> Vielleicht in absehbarer Zeit nochmal wenn genug zusammen kommen.

wenn es in nächster zeit ist würde ich so 2-4 nehmen!

von John-eric K. (mockup)


Lesenswert?

Also ich habe im Artikel unter
http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter#Sammelbestellung

das angedacht, dass da jeder seine Anzahl da eintragen kann.

Also *an* alle *die* noch *einen* oder *mehr* möchten *bitte* 
im *Artikel* unter *Sammelbestellung* Interessenten *die* Menge 
als *Strich* eintragen *und* nach *5* immer *ein* 
*Leerzeichen**!*

Eagl, ich weiß nicht wie ich einen ganzen Satz fett hervorhebe. ;-)

von John-eric K. (mockup)


Lesenswert?

So ich hab für einen Komelitonen auch einen aufgebaut
und hab da das gleiche Problem mit dem CPLD,
dass die Firmware angeblich höher ist und diese Schreibfehler 
verursacht.
Firmware Update laut Anleitung im Artikel funktioniert aber.
Und danach sind keine Probleme mehr.

Gruß John-Eric

von Master S. (snowman)


Lesenswert?

so, ich habe den bausatz bekommen. alles super beschriftet und so, 
vielen dank für all die mühen und das zusammenstellen. zum zusammenlöten 
und testen werde ich wohl erst später kommen...

von jor (Gast)


Lesenswert?

Hallo,
mein Adapter ist jetzt auch soweit fertig. Bis ich das Upadate unter 
Windows 7 installiert hatte, habe ich eine Weile gebraucht aber jetzt 
scheint alles zu funktionieren. Habe allerdings noch keinen Chip 
beschrieben.

Vielen Dank noch einmal an John-Eric!

von John-eric K. (mockup)


Lesenswert?

Bitte Bitte snowman und jor ;-)

Ich habe dem Artikel gerade noch etwas hinzugefügt.

Für die Linuxbenutzer ist eine Anleitung zum Installieren und Einrichten 
von ISE und dem USB-Treiber verlinkt.

Gruß John-Eric

von dermoench (Gast)


Lesenswert?

Hey Leute, hab meinen gerade fertiggestellt. Leider wird er an meinem 
Rechner aber nciht erkannt, krieg immer nur unable to enumerate USB 
device. Hat einer eine Idee, wo ich anfangen kann zu suchen?

Gruesse
Jens

von John-eric K. (mockup)


Lesenswert?

Stromverbrauch messen.
meiner hatte nicht mehr als 70mA nach dem zusammenlöten ohne Programm.


Quarz(Kurzschluss gegen Masse zB), USB-Buchse
Versorgungsspannung an dem Cypress IC nachmessen ob die 3,3V ist.
Reset überprüfen.

Gruß John-Eric

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

ISE 11.5 unter Linux hat mein Kabel nicht erkannt.



ISE 11.1 unter Windows hat dagegen das Kabel erkannt und wollte 
loslegen.


Jetzt muss ich mir nur noch einen Adapterstecker zu meinem Board bauen, 
um die volle Funktionsfähigkeit nach zu weisen.

von Rick Dangerus (Gast)



Lesenswert?

So. Ich hab es nun auch endlich mal geschafft, den Programmer 
zusammenzulöten.

Um den Cypress FX EEPROM zu programmieren, wollte ich das oben 
angegebene kleine Progrämmchen verwenden. Das läuft aber nicht mit 
Windows 7, 64 Bit. Da bleiben die Schaltflächen zur Dateiauswahl 
ausgegraut. Mit Windows XP ging es dann auf anhieb. Erste Hürde 
geschafft.

Leider möchte Impact keine CPLD-Firmware draufspielen.
1
write cmdbuffer failed 20000015.
So lautet die Fehlermeldung. Also Stromaufnahme geprüft -> ca. 70 mA. 
Betriebsspannung geprüft (an den Kondensatoren in der Nähe der 
Spannungsregler): 5V ok, 3.3V ok, aber statt 1.8V gab es nur 0.3V. Da 
hatte ich den Spannungsregler für die 1.8 nicht gut gelötet (Das ist 
aber auch ein fipsliges Ding, ich hätte da einen größeren genommen, 
schon wegen der Montage...) Nagut. Nachgelötet und die 1.8V passen auch.

Das Problem mit Impact besteht aber immer noch. Wenn ich mit dem Oszi am 
CPLD, TCK und TMS angucke, sieht das nicht nach Programmierung per JTAG 
aus.

tinman berichtete ein Problem am LT-Chip; was müssen denn da für 
Spannungen zu sehen sein?
Ist es ok, wenn die SLOE_INT-Leitung am Cypress GND-Pegel hat?
Wie wird die Referenzspannung ermittelt, manchmal hatte ich 
diesbezüglich eine Meldung im impact-log?

Im Anhang ein Screenshot, wie es momentan bei mir aussieht. Vielleicht 
hat noch jemand eine Idee, warum der CPDL nicht geflasht wird.

Rick

von Olaf (Gast)


Lesenswert?

> Im Anhang ein Screenshot, wie es momentan bei mir aussieht. Vielleicht
> hat noch jemand eine Idee, warum der CPDL nicht geflasht wird.

Entweder du hast noch weitere Loet oder Bestueckungsfehler oder dein 
CPLD ist defekt. Grundsaetzlich geht die Schaltung so wie sie ist.

Olaf

von Rick Dangerus (Gast)


Lesenswert?

Olaf schrieb:
> Entweder du hast noch weitere Loet oder Bestueckungsfehler
Hmm. Ich habs schon paarmal geprüft, aber ich werd in 'ner ruhigen 
Minute nochmal gucken.

> CPLD ist defekt.
Die Befürchtung habe ich auch. Dummerweise ist das Teil nicht ganz 
billig. Außerdem möchte ich erstmal sehen, wie der FX2 versucht das Ding 
per JTAG zu programmieren (mindestens auf TCK sollte etwas wackeln, was 
halbwegs nach einem Programmiertakt aussieht).

> Grundsaetzlich geht die Schaltung so wie sie ist.
Das bezweifle ich nicht.

Rick

von Thomas R. (tinman) Benutzerseite


Lesenswert?

programmiere die firmware nochmal, wie hier beschrieben

http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter#Probleme

von Olaf (Gast)


Lesenswert?

> Die Befürchtung habe ich auch.

Bei mir war der CPLd auch defekt. Das hat mich ja auch sehr erstaunt da 
John-Eric die wohl sicher nur irgendwo neu gekauft und weitergereicht 
hat.
Ich musste bei mir jedenfalls einen neuen einloeten und danach hat
es dann sofort funktioniert.
Und ich hab natuerlich vorher 234242342mal kontrolliert das auch 
wirklich alle Pinne richtig angeloetet waren.

Ach...wenn ich mich richtig erinnere, bin mir aber nicht ganz sicher, 
dann hat der defekte CPLD auch zuviel Strom gezogen. Du hast gesagt bei 
dir war der Spannungsregler nicht richtig angeloetet. Sowas kann ja auch 
dazu fuehren das er seine Eingangsspannung durchlaesst und du damit das 
CPLD himmelst.

Olaf

von Rick Dangerus (Gast)


Lesenswert?

Thomas R. schrieb:
> programmiere die firmware nochmal, wie hier beschrieben
>
> http://www.mikrocontroller.net/articles/Xilinx_USB...

> 3. Select Xilinx USB Cable from the Cable Communication Setup dialog box and 
wait for the update to be completed.
Hmm. Geht nicht. Impact startet da kein Update, sondern meldet nur: 
Cable not found :-(
1
Enumerating cables. Please wait.
2
PROGRESS_START - Starting Operation.
3
Connecting to cable (Usb Port - USB21).
4
Checking cable driver.
5
 Driver file xusb_emb.sys found.
6
 Driver version: src=1029, dest=1029.
7
 Driver windrvr6.sys version = 8.1.1.0. WinDriver v8.11 Jungo (c) 1997 - 2007 Build Date: Feb 28 2007 x86_64 64bit SYS 09:48:01, version = 811.
8
 Cable PID = 0008.
9
 Max current requested during enumeration is 74 mA.
10
Type = 0x0004.
11
 Cable Type = 3, Revision = 0.
12
 Setting cable speed to 6 MHz.
13
Cable connection established.
14
Firmware version = 1029.
15
File version of C:/Program_Files_BLa/Xilinx/12.2/ISE_DS/ISE/data/xusb_xlp.hex = 1303.
16
Firmware hex file version = 1303.
17
Downloading C:/Program_Files_BLa/Xilinx/12.2/ISE_DS/ISE/data/xusb_xlp.hex.
18
Downloaded firmware version = 1303.
19
Type = 0x0004.
20
ESN option: 12000003D2B581.
21
=======================================================
22
Found cable - > write cmdbuffer failed 20000015.
23
write cmdbuffer failed 20000015.
24
ESN option: 12000003D2B581.
25
ESN = 12000003D2B581.
26
=======================================================
27
write cmdbuffer failed 20000015.
28
write cmdbuffer failed 20000015.
29
Connecting to cable (Usb Port - USB22).
30
Checking cable driver.
31
 Driver file xusb_emb.sys found.
32
 Driver version: src=1029, dest=1029.
33
 Driver windrvr6.sys version = 8.1.1.0. WinDriver v8.11 Jungo (c) 1997 - 2007 Build Date: Feb 28 2007 x86_64 64bit SYS 09:48:01, version = 811.
34
PROGRESS_END - End Operation.
35
Elapsed time =     15 sec.
36
ERROR:iMPACT - This function requires that a target is opened first.

Aussehen müsste es ja so ungefähr (getestet mit Digilent USB JTAG 
Programmer):
1
Enumerating cables. Please wait.
2
PROGRESS_START - Starting Operation.
3
Connecting to cable (Usb Port - USB21).
4
Checking cable driver.
5
 Driver file xusb_emb.sys found.
6
 Driver version: src=1029, dest=1029.
7
 Driver windrvr6.sys version = 8.1.1.0. WinDriver v8.11 Jungo (c) 1997 - 2007 Build Date: Feb 28 2007 x86_64 64bit SYS 09:48:01, version = 811.
8
 Cable PID = 0008.
9
 Max current requested during enumeration is 74 mA.
10
Type = 0x0004.
11
 Cable Type = 3, Revision = 0.
12
 Setting cable speed to 6 MHz.
13
Cable connection established.
14
Firmware version = 1029.
15
File version of C:/Program_Files_BLa/Xilinx/12.2/ISE_DS/ISE/data/xusb_xlp.hex = 1303.
16
Firmware hex file version = 1303.
17
Downloading C:/Program_Files_BLa/Xilinx/12.2/ISE_DS/ISE/data/xusb_xlp.hex.
18
Downloaded firmware version = 1303.
19
Type = 0x0004.
20
 ESN device is not available for this cable.
21
=======================================================
22
Found cable - > Type = 0x0004.
23
 ESN device is not available for this cable.
24
No ESN.
25
=======================================================
26
Connecting to cable (Usb Port - USB22).
27
Checking cable driver.
28
 Driver file xusb_emb.sys found.
29
 Driver version: src=1029, dest=1029.
30
 Driver windrvr6.sys version = 8.1.1.0. WinDriver v8.11 Jungo (c) 1997 - 2007 Build Date: Feb 28 2007 x86_64 64bit SYS 09:48:01, version = 811.
31
PROGRESS_END - End Operation.
32
Elapsed time =      3 sec.
33
ERROR:iMPACT - This function requires that a target is opened first.
34
// *** BATCH CMD : setCable -port usb21 -baud -1
35
Connecting to cable (Usb Port - USB21).
36
Checking cable driver.
37
 Driver file xusb_emb.sys found.
38
 Driver version: src=1029, dest=1029.
39
 Driver windrvr6.sys version = 8.1.1.0. WinDriver v8.11 Jungo (c) 1997 - 2007 Build Date: Feb 28 2007 x86_64 64bit SYS 09:48:01, version = 811.
40
 Cable PID = 0008.
41
 Max current requested during enumeration is 74 mA.
42
Type = 0x0004.
43
 Cable Type = 3, Revision = 0.
44
 Setting cable speed to 6 MHz.
45
Cable connection established.
46
Firmware version = 1303.
47
File version of C:/Program_Files_BLa/Xilinx/12.2/ISE_DS/ISE/data/xusb_xlp.hex = 1303.
48
Firmware hex file version = 1303.
49
PLD file version = 0012h.
50
 PLD version = 0012h.
51
WARNING:Cse - Platform Cable USB firmware must be updated. This operation may take up to 10 seconds. Please
52
 do not stop the process or disconnect the cable prior to completion. The cable STATUS LED will be RED for the duration
53
 of the update process.
54
55
Updating the cable firmware...
56
PROGRESS_START - Starting Operation.
57
Firmware update completed successfully.
58
PROGRESS_END - End Operation.
59
Elapsed time =     21 sec.
60
Type = 0x0004.
61
 ESN device is not available for this cable.

Ich hab keine Idee, warum das nicht geht...

Manchmal kommt noch:
1
write cmdbuffer failed 20000015.
2
write cmdbuffer failed 20000015.
3
Loopback test failed. Sent character = 00, Received character = 0F.
Was auch immer das für eine Loop ist. JTAG offenbar nicht. TCK (PE3) ist 
quasi statisch. TDI (PE6) auch und TDO (PE5) bleibt immer auf GND Pegel.
Auf CLKOUT (TDO_SAMPLE_CLK) sehe ich eine Frequenz von 48 MHz.

Welche Spannungen am FX2 könnten denn falsch sein?

Rick

von Thomas R. (tinman) Benutzerseite


Lesenswert?

kann ich dir nicht sagen da mein geclontes kabel gerade unterwegs ist.

Ich weiss du hast schon dein aufbau ein paar mal geprüft, aber prüfe es 
nochmal. Leider hast du mein liebliebsfehler auch gemacht - aufbauen, 
einschalten, ups, prüfen :) Die CPLDs die ich hier in dem protos drin 
hatte, haben allerdings schlimemres überlebt (180° falsch, 90° falsch, 
3.3V statt 1.8V), könnte also sein das du glück hattest.

von Steffen (Gast)


Lesenswert?

gibt es noch Restbestände von Platinen?

danke
gruß
steffen

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Steffen schrieb:
> gibt es noch Restbestände von Platinen?
>
> danke
> gruß
> steffen

ja, gibts es. Melde dich bei mir oder John-Eric (er dürfte auch noch 
welche haben)

von John V. (vim505)


Lesenswert?

Gibt es noch 2 platinen oder lieber noch 2 bausatze?

liebe gruesse

John

von ZiB (Gast)


Lesenswert?

>
> Manchmal kommt noch:write cmdbuffer failed 20000015.
> write cmdbuffer failed 20000015.
> Loopback test failed. Sent character = 00, Received character = 0F.
> Was auch immer das für eine Loop ist. JTAG offenbar nicht. TCK (PE3) ist
> quasi statisch. TDI (PE6) auch und TDO (PE5) bleibt immer auf GND Pegel.
> Auf CLKOUT (TDO_SAMPLE_CLK) sehe ich eine Frequenz von 48 MHz.
>
> Welche Spannungen am FX2 könnten denn falsch sein?
>
> Rick

install the version 10.1 firmware for the FPGA

http://ziblog.ru/2011/03/31/usb-programmator-dlya-plis-xilinx/

von Steffen (Gast)


Lesenswert?

Woher wurden eigentlich die Coolrunner CPLDs bezogen?

Also folgender:
XC2C256-6VQG100C

RS-Components hat die XC2C256-7VQG100C, jedoch haben die nun ein 
Problem, wenn man Student ist ...
Digikey wäre eine Möglichkeit, aber dafür müsste ich noch etwas größeres 
dazu bestellen, ansonsten sind die Versandkosten teurer als ein Device.

Danke.

von Philipp F. (philipp5054)


Lesenswert?

Hallo John-eric K.,
hätte auch Interesse an einem Bausatz. Noch zu bekommen?

Gruß und Dank
   Philipp

von john (Gast)


Lesenswert?

Steffen schrieb:
> Woher wurden eigentlich die Coolrunner CPLDs bezogen?
>
> Also folgender:
> XC2C256-6VQG100C

avnet zum sonderpreis.

Beim DK kannst du auch nur ganze Tray bestellen, dann kostet der Versand 
auch nix. Die 7C dürften auch funktionieren, hat schon mal jemand 
versucht.

von John-eric K. (mockup)


Lesenswert?

Bausätze selber habe ich leider nicht mehr.
Das einzige was ich noch habe sind ein paar Platinen.

Als CPLD habe ich in meinem Programmer den 7C CPLD und habe bis jetzt 
noch überhaupt keine Probleme mit dem Teil gehabt.
Programmiert habe ich:
Virtex 2
Spartan 3
XC95er-Reihe

Falls noch jemand eine Platine will,
es sind noch 18 zu haben für 7EUR/Stück
Versand bei 1ner als Brief für 1EUR
mehrere 1,50EUR

Gruß
John-Eric

von Philipp F. (philipp5054)


Lesenswert?

Habe mir jetzt in der Hoffnung weniger Stress damit zu haben bei Ebay 
folgendes Teil für rund 40 inkl. Versand bestellt.
Vielleicht hilft es ja auch anderen.

http://cgi.ebay.de/ws/eBayISAPI.dll?ViewItem&item=200539866123&ru=http%3A%2F%2Fshop.ebay.de%3A80%2F%3F_from%3DR40%26_trksid%3Dp4712.m570.l1313%26_nkw%3D200539866123%26_sacat%3DSee-All-Categories%26_fvi%3D1&_rdc=1

von Metaller (Gast)


Lesenswert?

Habe das Gleiche, nur von einem anderen Anbieter. Funktioniert bis jetzt 
alles super (auch Updates).

von John-eric K. (mockup)


Lesenswert?

hat mal einer von euch Lust das Ding auf zu machen und ein paar gute 
Photos zu machen.
Sieht ja so ähnlich aus wie unseres hier.
Würde mich mal interessieren.

Gruß
John

von Thomas T. (knibbel)



Lesenswert?

Hallo!

Hier sind ein paar Hires-Bilder meiner Sammlung. Deswegen auch relativ 
große Files!!!

Ich habe zwei China-Modelle hier liegen. Warum der eine allerdings noch 
einen Altera-Chip hat, keine Ahnung.

Gruß,
Thomas

von Philipp H. (quecksilber)


Lesenswert?

Hallo ihr!

ich habe mir auch so einen Programmer zusammengelötet und leider 
funktioniert er nicht. Natürlich habe ich ihn zuerst an ein 5V-Netzteil 
angeschlossen, und was war: das Teil frisst etwa 200mA.
Der dicke SOT223 Spannungswandler wird heiß, sonst kein anderes Teil.
Der CPLD ist der von mockup, wie hoch ist die Warscheinlichkeit, dass er 
verreckt ist? Irgendwie hab ich gelesen, dass das schon mal wem passiert 
sei, kann es sein, dass der CPLD etwas empfindlich ist. Den CPLD kann 
ich ersetzen, sowas liegt noch OVP herum.

dann noch was anderes: auf der Platine ist so ein blöder kleiner SOT23-6 
Komperator vorhanden. den hab ich noch nicht aufgelötet, da ich ums 
verrecken nicht rausbekommen kann, wo da die 1 ist.

weiß jamand, wie man da den Pin1 erkennen kann, das Dingens hat keine 
Fase oder Vertiefung als Marker.


Viele Grüße, Philipp :)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Philipp H. schrieb:
> Hallo ihr!
>
> ich habe mir auch so einen Programmer zusammengelötet und leider
> funktioniert er nicht. Natürlich habe ich ihn zuerst an ein 5V-Netzteil
> angeschlossen, und was war: das Teil frisst etwa 200mA.
> Der dicke SOT223 Spannungswandler wird heiß, sonst kein anderes Teil.
> Der CPLD ist der von mockup, wie hoch ist die Warscheinlichkeit, dass er
> verreckt ist? Irgendwie hab ich gelesen, dass das schon mal wem passiert
> sei, kann es sein, dass der CPLD etwas empfindlich ist. Den CPLD kann
> ich ersetzen, sowas liegt noch OVP herum.


eher nen kurzen gebaut beim löten

>
> dann noch was anderes: auf der Platine ist so ein blöder kleiner SOT23-6
> Komperator vorhanden. den hab ich noch nicht aufgelötet, da ich ums
> verrecken nicht rausbekommen kann, wo da die 1 ist.
>
> weiß jamand, wie man da den Pin1 erkennen kann, das Dingens hat keine
> Fase oder Vertiefung als Marker.
>

ja, unter der buchstabe L ist ein kleies pfeil, der zeigt wo die 1 ist.

von Hans B. (Firma: ohne) (dc4mg)


Lesenswert?

@Steffen:
Farnell hat die 7VQG  und liefert auch an Studenten oder indirekt an 
diejenigen Privatpersonen, die eine/n Studenten/in gezeugt haben ;-).
Anmelden und Immatrikulationsbescheinigung einreichen reicht.
Hans

von Dirk V. (dirkv)


Lesenswert?

Leider komme ich nicht dazu meinen Bausatz mit Gehäuse zusammen zu 
löten. Den BS würde ich zum Selbstkostenpreis abgeben.

von Philipp H. (quecksilber)


Lesenswert?

Hi!

ich hab jetzt den Kurzschluss beheben können, hatte blöderweise R17 und 
R18 aufgelötet... so kann das nicht gehen xD

bei dem SOT23-6 kann man den kleinen Pfeil echt sehen, danke...
allerdings nur mit der Lupe vom Taschenmesser (hab keine andere da..)

wenn die Beschriftung schlecht zu lesen ist, hat es bei mir geholfen 
etwas Lack auf den Chip zu schmieren und dann wieder wegzukratzen 
(Fingernagel), dann bleibt in der Schrift der Lack drinnen und der 
Kontrast wird höher.


dann bleibt mal zu hoffen, dass ich das Teil auch programmiert bekomme.
Wenn es fertig ist, werde ich mich nochmal melden!



Grüße, Philipp :)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Philipp H. schrieb:
> wenn die Beschriftung schlecht zu lesen ist, hat es bei mir geholfen
> etwas Lack auf den Chip zu schmieren und dann wieder wegzukratzen
> (Fingernagel), dann bleibt in der Schrift der Lack drinnen und der
> Kontrast wird höher.

etwas spucke reicht auch :)

von XILINXUSB (Gast)


Lesenswert?

Hallo,
Habe noch 2 Sätze (Leiterplatte, CY7C68013A, XC2C256-6 und alle aktiven 
Bauteile) übrig und würde sie je 40,- Euro verkaufen.

von Basile C. (basile)


Lesenswert?

Hello,

Ich bin Basile und ich bin Französisch. Ich vergaß alles, was ich wusste 
Deutsch!  Sorry if Google Translate offend your ears, but it's much more 
easy for me.

First, I would like to thank everybody involved in this project. You 
have done a great job!

Is there anybody you could sell me one PCB for this clone? As I have 
understood XILINXUSB still have 2 kits (pcb, active components, etc) 40 
euros each one. I will be interested in buying one of them. So please, 
could you contact me by private message if you think you can sell me one 
of the remaining kits.

Thanks in advance! Vielen Danke!

Basile

von Frank Z. (fzoll)


Lesenswert?

XILINXUSB schrieb:
> Hallo,
> Habe noch 2 Sätze (Leiterplatte, CY7C68013A, XC2C256-6 und alle aktiven
> Bauteile) übrig und würde sie je 40,- Euro verkaufen.

Halloe.

Ich hätte auch noch interesse an einem der beiden Baustätze.

Freundliche Grüße
Frank

von Fabian S. (jacky2k)


Lesenswert?

Wie siehts aus, bekommt man hier noch was?
Hätte da auch noch 2-3 Kollegen, die wohl auch nen Komplettsatz incl. 
Gehäuse nehmen würden.

von Marvin S. (demo)


Lesenswert?

ich waere auch dabei.

von Marvin (Gast)


Lesenswert?

Ich habe grade ein klitzekleines Problem mit einem neu gebauten kabel... 
Ich benutzte ompact (O.40d) mit den neuesten rmdir-usb-treibern unter 
64bit-linux. Und alles was ich bekomme, wenn ich versuche mit dem 
korrekt erkannten und mit firmware beladenen kabel zu verbinden ist:
1
PROGRESS_START - Starting Operation.
2
If you are using the Platform Cable USB, please refer to the USB Cable Installation Guide (UG344) to install the libusb package.
3
 Using windrvr6 driver.
4
Connecting to cable (Usb Port - USB21).
5
Checking cable driver.
6
File version of /home/ja/.localinstall/ise-13.1/ISE_DS/ISE/bin/lin64/xusbdfwu.hex = 1030.
7
File version of /usr/share/xusbdfwu.hex = 1030.
8
 libusb-driver.so version: 2011-04-16 20:00:43.
9
 Cable PID = 0008.
10
 Max current requested during enumeration is 74 mA.
11
Type = 0x0004.
12
 Cable Type = 3, Revision = 0.
13
 Setting cable speed to 6 MHz.
14
write cmdbuffer failed FFFFFFFFFFFFFF92.
15
Error reading reference voltage level.
16
Cable connection failed.

Scheinbar gibt der controller irgendwann einfach den Geist auf. Hat 
jemand ne idee, woran das liegen koennte? Ebenso spannend ist, dass ein 
lsusb -vv unheimlich lange auf eine Antwort wartet.

Gruesse

Marvin

von Marvin (Gast)


Lesenswert?

Achja, um das noch hinzuzufuegen. Wenn man denn mal eine 
referenzspannung anschliesst, zusammen mit einem jtag-device das mit 
einem parallelportprogrammer auch funktionert, bekommt man immerhin ne 
gruene LED. ;)

Gruesse

Marvin

von XILINXUSB (Gast)


Lesenswert?

XILINXUSB schrieb:
> Autor: XILINXUSB (Gast)
>
> Datum: 04.05.2011 20:57
>>
> Hallo,
>
> Habe noch 2 Sätze (Leiterplatte, CY7C68013A, XC2C256-6 und alle aktiven
>
> Bauteile) übrig und würde sie je 40,- Euro verkaufen.


Nachdem fzoll einen abgenommen hat und basile sich nicht wieder gemeldet 
hat, ist der zweite nun wieder zu haben.

von Mi M. (mike123)


Lesenswert?

Hi XILINXUSB,

ich würde den Baussatz nehmen.

Gruß,

Michel

von Marvin (Gast)


Lesenswert?

Okay, ich hab mein Problem nun geloest.

Mal als Hinweis an die anderen, die jetzt noch ihren Bausatz bauen und 
an dem gleichen Problem haengen: Der Problemfix scheint nicht mehr mit 
neueren Versionen zu funktionieren. Ich hab es mit Impact aus 13 (Linux) 
und 12 (Windows) vergeblich versucht. Mit 11.1 ging es dann prima. :)

Gruesse

Marvin

von Steffen M. (steffenmauch)


Lesenswert?

ich habe mir zwei mit Gehäuse aufgebaut und beide funktionieren 
einbandfrei.
da ich jedoch nur einen benötige, würde ich ganz gerne den anderen 
verkaufen.
Wer daran Interesse hat, einfach melden.

(also info habe den 7C Coolrunner verbaut, anstelle des 6C, kein 
Unterschied bislang feststellbar!)

von Philipp H. (quecksilber)


Lesenswert?

Hallo!

Vorbemerkung:
ich verwende Debian-Linux Squeeze mit einem 13.2er ISE


ich hab jetzt mal weiter versucht, das JTAG Kabel zum laufen zu 
bekommen, nur leider ohne gescheiten Erfolg:
den EEPROM hab ich geschrieben, wenn ich das Ding am USB anschließe, 
kommt:
1
Aug  9 17:24:37 philipp-desktop kernel: [14183.956593] usb 3-6: new high speed USB device using ehci_hcd and address 6
2
Aug  9 17:24:37 philipp-desktop kernel: [14184.089250] usb 3-6: New USB device found, idVendor=03fd, idProduct=000f
3
Aug  9 17:24:37 philipp-desktop kernel: [14184.089258] usb 3-6: New USB device strings: Mfr=0, Product=0, SerialNumber=0
4
Aug  9 17:24:37 philipp-desktop kernel: [14184.089406] usb 3-6: configuration #1 chosen from 1 choice
5
Aug  9 17:24:37 philipp-desktop kernel: [14184.125137] usb 3-6: USB disconnect, address 6
6
Aug  9 17:24:39 philipp-desktop kernel: [14185.856000] usb usb6: root hub lost power or was reset
7
Aug  9 17:24:39 philipp-desktop kernel: [14186.216062] usb 6-3: new full speed USB device using ohci_hcd and address 3
8
Aug  9 17:24:39 philipp-desktop kernel: [14186.374968] usb 6-3: not running at top speed; connect to a high speed hub
9
Aug  9 17:24:39 philipp-desktop kernel: [14186.384964] usb 6-3: New USB device found, idVendor=03fd, idProduct=0008
10
Aug  9 17:24:39 philipp-desktop kernel: [14186.384969] usb 6-3: New USB device strings: Mfr=1, Product=2, SerialNumber=0
11
Aug  9 17:24:39 philipp-desktop kernel: [14186.384974] usb 6-3: Product: XILINX    
12
Aug  9 17:24:39 philipp-desktop kernel: [14186.384977] usb 6-3: Manufacturer: XILINX 
13
Aug  9 17:24:39 philipp-desktop kernel: [14186.385283] usb 6-3: configuration #3 chosen from 1 choice
14
Aug  9 17:24:42 philipp-desktop kernel: [14189.007912] usb 6-3: USB disconnect, address 3
15
Aug  9 17:24:44 philipp-desktop kernel: [14190.885554] usb 3-6: new high speed USB device using ehci_hcd and address 8
16
Aug  9 17:24:44 philipp-desktop kernel: [14191.018261] usb 3-6: New USB device found, idVendor=03fd, idProduct=0008
17
Aug  9 17:24:44 philipp-desktop kernel: [14191.018268] usb 3-6: New USB device strings: Mfr=1, Product=2, SerialNumber=0
18
Aug  9 17:24:44 philipp-desktop kernel: [14191.018273] usb 3-6: Product: XILINX    
19
Aug  9 17:24:44 philipp-desktop kernel: [14191.018276] usb 3-6: Manufacturer: XILINX 
20
Aug  9 17:24:44 philipp-desktop kernel: [14191.018430] usb 3-6: configuration #2 chosen from 1 choice

ich weiß nicht, wie weit ich schon bin, also ob die firmware schon auf 
dem CPLD ist oder nicht?

meint ihr, dass es Sinn macht, es gleich mit einem "alten" 11.1er ISE 
WebPack zu versuchen, wie einer meiner Vorredner das gemacht hat.

ich habe einen FPGA da um das Kabel zu testen, allerdings kein anderes 
funktionierendes Progger-Kabel.

das FPGA-Board ist das Ding vom c't-lab.


viele Grüße,
Philipp

von Thomas R. (tinman) Benutzerseite


Lesenswert?

ja auf jeden fall, stand auch irgendwo auf Xilinx webseite das man
es so machen muss, es war sogar version 10?
Weiss nicht mehr genau, aber definitiv nicht 13 oder 12 - erst dann
nach dem die firmware einmal drin ist kann man 13 nehmen und updaten.

von Philipp H. (quecksilber)


Lesenswert?

Hallo!

melde mich noch mal, sieht schon VIEL besser aus das ganze:

also: ich hab einfach maa das 11.1er WebPack runtergeladen und 
installiert..
das war schon mal lustig, da das nur 32bit kann und ich hier auf der 
Workstation 64bit habe....
naja, aufm Laptop ging es dann:
driver kompiliert, geladen und iMPACT an:

UPDATING FIRMWARE.............
OK.

wow. cool.....

jetzt hab ich die Firmware auf dem Teil und muss das FPGA-Board 
aufbauen, um den Boundary Scan durchführen zu können.
auf dem 13.2er hab ich noch kein "confirmed", aber der einzige Fehler 
den ich ich jetzt bekomme, ist "keine Ziel-Spannung"... xD


Die im Artikel genannte Anleitung ist interessant und hilfreich, genau 
wie DEIN Kommentar, tinman.

(wenn wieder was ned gehen tut, frag ich nochmal :D )


Grüße,
Philipp

von John-eric K. (mockup)


Lesenswert?

Keine Zielspannung heißt, dass an Vref(PIN2 des Steckverbinders) keine 
Spannung größer 1,5V Festgestellt wurde.

Diese Meldung ist Normal, wenn Vref nicht angeschlossen ist. xD

Also geht er dann ja.

von Judgin F. (einsteiger777)


Lesenswert?

Hallo Thomas R. und John-eric K.,

ich habe einen Spartan-3AN FPGA von Xilinx.
Kann ich diesen mit eurem Programmer programmieren?
Kann ich den Programmer mit der Software "Webpack" von Xilinx nutzen, 
oder brauche ich dafür irgendwelche Lizenzen?
Könnt ihr mir noch andere kostenlose -oder günstige Software empfehlen, 
um meinen Spartan 3 mit eurem Programmer zu programmieren!

Ich danke euch schon im Vorraus!

Viele Grüsse

von John-eric K. (mockup)


Lesenswert?

Hallo Eugen, da es ein 1-zu-1 Nachbau ist, kann dieser ganz Normal mit 
dem ISEWebpack und Impact genutzt werden. Alle Chips die Impact 
unterstützt, kann auch der Adapter programmieren.

Er sollte auch mit der Bezahlversion von ISE funktionieren, da er die 
Xilinx original Firmware verwendet.

Mit einem FTDI als Adapter und xc3sprog
http://xc3sprog.sourceforge.net/
sollte die Spartan 3-Reihe auch programmiert werden können.

von Judgin F. (einsteiger777)


Lesenswert?

Hallo John,

hast du noch komplete Bausätze, Platinen, Bauteile, Gehäuse, etc. zu 
verkaufen?

Ich habe mich entschlossen jetzt einen solchen Adapter aufzubauen.

Viele Grüsse
Judgin

von Steffen M. (steffenmauch)


Lesenswert?

Hallo Judgin,

wenn du Interesse hast, ich habe mir damals zwei aufgebaut und würde 
einen gerne loswerden.
Ist komplett mit Gehäuse und Beschriftung.

Wenn du Interesse hast, schreib mir ne PN und ne Preisvorstellung dazu.
Kann dir auch gerne Bilder zukommen lassen.

Gruß
Steffen

von Judgin F. (einsteiger777)


Lesenswert?

Hallo Steffen,

ich glaube der Bestellpreis liegt bei ca. 60 €!
Stimmt das so, korrigiere mich bitte wen ich falsch liege.

Wenn das Ding gebraucht ist, dann würde ich Dir 40 € geben.
Wenn es neu ist, dann halt den Einkaufspreis.

Was hälst du von meiner Vorstellung?
Bilder wären nicht schlecht.
Vielleicht vom Innenleben und im Gehäuse.

Ich verlasse mich darauf, dass der Programmer funktioniert.

Viele Grüsse

von one s. (one_s)


Lesenswert?

Hallo John-Eric,
ich nehme 2 Platinen. Wie kann ich bezahlen, paypal oder überweisen?
Die Platine können Sie bitte an meine Adresse schicken:

One Setiaji
Bachstr. 28
88250 Weingarten

vielen Dank

MfG,
One

von Armin D. (armin_d738)


Lesenswert?

Hello
Thanks for your work, is it possible to replace the cpld with 
XC2C64A-7VQ100C or other pin compatible ones?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.