Forum: FPGA, VHDL & Co. Altera ROM Funktionen.


von Eisen H. (eisenhorn)


Lesenswert?

Hallo,

Anbei eine Frage. Die Ausgangsituation schaut so aus. Im Zuge eines Uni 
Kurses entwickeln wir eine CPU auf einem Altera Cyclone FPGA Board.
Die Idee ist es den Programmcode (da wir eine Harvard Architekur 
verwenden) ins ROM zu schreiben. Wie ich es verstehe werden ROM 
funktionen beim Altera mittels sogenannten Megafuntions inferred.
In den Beispielen wird der ROM durch ein Modul, was den ROM auch mit 
Werten initailisert, abgeleitet.
Mein Kollege meint, dass das board es möglich macht files in ein ROM zu 
laden (über UART). Das ROM, so wie ich es verstehe müsste also bereits 
initialsiert sein. Im Manual zu dem BOard finde ich dazu nichts. Gibt es 
eine Möglichkeit in VHDL ein initialsiertes ROM anzusprechen. Ich habe 
zwar mal VHDL programmiert, das ist aber auch wieder etwas länger her, 
also bitte um Nachsicht falls das eine trivial Frage sein sollte.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Mario Grotschar schrieb:
> Gibt es eine Möglichkeit in VHDL ein initialsiertes ROM anzusprechen.
Wenn du einfach nur ein ROM beschreibst, sollte der Synthesizer 
automatisch ein ROM draus machen. So z.B. wie in meiner DFFS:
http://www.lothar-miller.de/s9y/categories/31-DDFS

von Harald F. (hfl)


Lesenswert?

Trivial ist die Frage sicher nicht, denn es geht um einen Grenzbereich 
zwischen traditioneller HDL-Modellierung und Hilfestellungen durch die 
Tools der FPGA-Hersteller. Wie du richtigerweise schreibst, definiert 
man ein ROM bei Altera mit dem Megawizard Plug-in Manager. Damit legt 
man die Breite, Tiefe, etc. und auch den initialen Inhalt mittels eines 
HEX-Files fest. Den Inhalt kann man später auch verändern, ich hätte 
jetzt aber gemeint, dass man das via JTAG und das Download-Kabel 
(Blaster) machen müsste.

von Eisen H. (eisenhorn)


Lesenswert?

Danke für die Antworten!
Genau das ist das Problem. Wir haben einen eigenen Assembler welcher uns 
das Instruction Binary erzeugt.
So wie ich das verstehe ist dieses in das INTEL HEX Format zu 
konvertieren und damit der ROM zu initialiseren. Also brauche ich auf 
jeden Fall das Hexfile sowie eine Architecture die dieses ROM zusammen 
mit den inst file beschreibt, ist das korrekt?
Oder kann ich das HEX file plain aufspielen (via JTAG) und dann im 
Nachinein den beschriebenen Bereich in irgendeiner Weise als ROM 
interpretieren, oder ist das nicht möglich?

von Harald F. (hfl)


Lesenswert?

Versuchs mal damit:

www.altera.com/literature/hb/qts/qts_qii5v3_05.pdf

Das Zauberwort lautet "In-System Memory Content Editor"

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.