Forum: FPGA, VHDL & Co. ISE webpack ANLEITUNG


von happyman (Gast)


Lesenswert?

Hallo könnte mir mal bitte kurz erklären, wie man in ISE Webpack was 
simuliert. ich möchte vhdl lernen und komme mit dem programm nicht klar.
schreibt mir einfach nur wie ich anfangen soll. ich finde nur enlgische 
anleitungen über das programm, und ich tu mir bissle schwer mit 
englischen anleitungen.

danke für eure hilfe!

von Silvia A. (silvia)


Lesenswert?

Wenn du dich wirklich mit FPGAs beschäftigen willst, kommst du um 
Englischkenntnisse nicht herum.

>komme mit dem programm nicht klar.
Das wird dir hier auch keiner in 2 Sätzen erklären können.

von TokyoDrift (Gast)


Lesenswert?

Oben über der Liste an Source Files hast du zur Auswahl "Implementation" 
und "Simulation" oder sowas. Wenn du das auf Simulation stellst kriegst 
du dann unten, da wo sonst die Schaltflächen fürs Synthetisieren und so 
sind, neue für ISim und so.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Beitrag "Re: GAL Entwicklungsborad gesucht"
Damit ist zumindest Ralph mal ein gut Stück weitergekommen.. ;-)

von happyman (Gast)


Lesenswert?

ok danke für die hilfe, ich werde es gleich heute mittag ausprobieren

von happyman (Gast)


Lesenswert?

Hallo Lothar Miller,

Danke nochmal für dein Beitrag, aber irgendwie blicke ich das nicht.
könntest du mit nochmals schritt für schritt erklären, wie ich in Xilinx 
ISE Desing Suite 12.3 ein einfaches VHDL-Programm schreibe und danach 
simulieren lassen kann. Ich habe mir das Buch von Reichardt und Schwarz 
gekauft und möchte jetzt die Beispiele abarbeiten.
Vielen Dank für deine/eure Mühe schon im vorraus!!

grüssle

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

happyman schrieb:
> Danke nochmal für dein Beitrag, aber irgendwie blicke ich das nicht.
> könntest du mit nochmals schritt für schritt erklären, wie ich in Xilinx
> ISE Desing Suite 12.3 ein einfaches VHDL-Programm schreibe und danach
> simulieren lassen kann.
Hast du diese Schritte aus dem Link schon gemacht?
Dann hast du ja schon ein Projekt, das was tut.
Und jetzt gehst du her und sagst nochmal: New Source --> VHDL-Testbench
Und bekommst so eine Testbench mit einer komplett verdrahteten 
Komponente vorgesetzt.
Und dann schreibst du einfach in die Testbench z.B.
1
 tb: process begin
2
 wait for 100 ns;
3
 Adresse <= "00000000";
4
 wait for 100 ns;
5
 Adresse <= "00000001";
6
 wait for 100 ns;
7
 Adresse <= "00000010";
8
 wait for 100 ns;
9
 Adresse <= "00001100";
10
 wait for 100 ns;
11
 Adresse <= "00011100";
12
 wait for 100 ns;
13
 Adresse <= "00010000";
14
 wait for 100 ns;
15
 Adresse <= "00100000";
16
 wait for 100 ns;
17
 end process;
Und dann wählst du über dem Projektbaum die Simulation aus und kannst 
den Prozess Simulaiton mit ISIM starten.

von Christian R. (supachris)


Lesenswert?

Im Prinzip folgende Schritte:

1. Neues Projekt anlegen. Da musst du halt irgendeinen Chip aussuchen, 
für den das gelten soll. Vielleicht einen Spartan 3 wählen. ISIM 
Simulator auswählen.

2. New Source -> VHDL Module, dann kannst du gleich Eingänge und 
Ausgänge festlegen.

3. Deinen Code in das neue File schreiben.

4. New Source -> VHDL TestBench -> Dann ein File aus 2./3. auswählen. 
ISE erstellt nun automatisch das Grundgerüst für die Testbench.

5. Testbench modifizieren. Da kannst du jetzt deine Stimuli 
reinschreiben, Clocks anpassen usw.

6. Bei View auf Simulation umschalten (oben links), Die Testbench 
markieren und unten links dann ISIM Simulator aufklappen und "Simulate 
Behavioral Model" durch Doppelklick starten.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.