Forum: FPGA, VHDL & Co. Counter funktioniert nicht ISE11.1


von Benedikt (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

bin VHDL Anfänger und möchte als als ersten Taktgesteuerten Process das 
übliche Blinklicht realisieren.

Jedoch funktioniert dieser Beispielcode von Pollin im ISIm und in der 
realen hardware  nicht!

Könnte bitte jemand drüberschaun und evtl. sagen woran es liegt?

Verwende ISE 11.1 und die test.vhd ist der Testbench.

mfg Benedikt

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Angehängte Dateien:

Lesenswert?

Benedikt schrieb:
> Jedoch funktioniert dieser Beispielcode von Pollin im ISIm und in der
> realen hardware  nicht!
Schön wäre, wenn du sagen würdest, WAS nicht funktioniert...

Seis drum, ich habe deinen Code mal genommen und simuliert. In der 
Simulation ist der counter immer undefiniert, denn er wird nicht 
initialisiert. Und undefiniert + 1 gibt undefiniert...

Wenn das geändert wird:
   SIGNAL counter : STD_LOGIC_VECTOR (21 downto 0)  := (others=>'0');
klappt die Simulation..

Allerdings hätte das in der Hardware durchaus funktionieren müssen, denn 
dort werden nicht initialisierte Signale automatisch auf '0' gesetzt. 
Wie sieht deine UCF Datei aus? Sind dort die Pins richtig zugewiesen?

von Benedikt (Gast)


Lesenswert?

Hallo,
entschuldige bitte, dass ich nicht näher beschrieben habe was nicht 
funktioniert.
Genau das ist das Problem das das Signal nicht definiert gewesen ist.
Die Hardware muss ich mal genauer untersuchen, evtl. ist ein defekt auf 
der Leiterplatte vorhanden!

Danke erstmal.


mfg Benedikt

von Benedikt (Gast)


Lesenswert?

Hallo,
Hab den Fehler auf der LP gefunden!
eine Unterbrechung in der Leiterbahn is schuld das die LED die der 
Counter ansteuern soll net geht.

Danke noch mal für deine Hilfe!

mfg Benedikt

PS: Deine Homepage is super; für VHDL-Anfänger nur zu empfehlen!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Benedikt schrieb:
> Hab den Fehler auf der LP gefunden!
Schön, viel Spass mit VHDL weiterhin.. ;-)

> PS: Deine Homepage is super;
Merci.. ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.