Forum: FPGA, VHDL & Co. Hommage an Lothar Miller


von Lothar Miller Fan (Gast)


Lesenswert?

Lothar Miller benutzt kombinatorische Schleifen weil es Ihm Spass macht!

Lothar Miller implementiert alle Designs mit einer LUT!

Lothar Miller braucht keinen Takt für synchrone Prozesse!

Lothar Miller benutzt Variablen!

Lothar Miller kann einen Xilinx FPGA mit Quartus programmieren!

Lothar Miller kann ein Signal aus zwei Prozessen zuweisen!

Lothar Miller kann das TEXTIO Package synthetisieren!

Lothar Miller implementiert seine State Machines mit der 0-Prozess 
Schreibweise!

Lothar Miller kann zwei Bit in einem FlipFlop speichern!

Lothar Miller erkennt Latches im Bitfile!

Lothar Miller implementiert kombinatorische Pfade ohne Delay!

Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

ROTFL, Danke.
Aber war das nicht Chuck Norris, der das alles kann und tut?  ;-)

von Grmpf (Gast)


Lesenswert?

War da nicht noch die Sache:

Wenn Lothar Miller bei Burger King einen Big Mac bestellt, dann bekommt 
der einen.

?

von D. I. (Gast)


Lesenswert?

Lothar Miller Fan schrieb:
> Lothar Miller erkennt Latches im Bitfile!

Lothar Miller schreibt das Bitfile selbst ;)

von Matthias (Gast)


Lesenswert?

> Lothar Miller benutzt Variablen!

<3

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Grmpf schrieb:
> Wenn Lothar Miller bei Burger King einen Big Mac bestellt, dann bekommt
> der einen.
Mann, das ist kein Witz, hab ich tatsächlich schon gemacht.
Aber dann doch nur einen entrüsteten Blick und einen Whopper bekommen...
Ich sag nur: Servicewüste Deutschland!  ;-)

von SuperWilly (Gast)


Lesenswert?

http://www.youtube.com/watch?v=0GpUhULRIuA

Jetzt weiss ich endlich, wer Lothar Miller ist!

von Nachrichtentechniker (Gast)


Lesenswert?

Lothar Miller Fan schrieb:
> Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!

Das ist in der Tat machbar, wenn der Empfänger die Nachrichten 
mehrdimensional analysiert und die CRCs erkennt. Nach dem ersten Zeichen 
und etwas Delay hat man es. Danach muss man nur noch eine Analyse 
machen, ob sich Bits nach hinten oder vorne verschieben, die Annahmen 
auswerten und die darauf basierenden falschen Pfade verwerfen.

von Harry (Gast)


Lesenswert?

<Das ist in der Tat machbar, wenn der Empfänger die Nachrichten
<mehrdimensional analysiert

Oh Mann! Ich hasse Korinthenkaker

von (prx) A. K. (prx)


Lesenswert?

Harry schrieb:

> Oh Mann! Ich hasse Korinthenkaker

"Korinthenkacker" bitte!

von pv (Gast)


Lesenswert?

Lothar Miller schrieb:
> ROTFL, Danke.
> Aber war das nicht Chuck Norris, der das alles kann und tut?  ;-)

Neiiiin! Das war Jack Bauer.

von D. I. (Gast)


Lesenswert?

Oder MacGyver

von Falk B. (falk)


Lesenswert?

Pipi Langstrumpf!

von Zorg (Gast)


Lesenswert?

Pipi Verilang(strumpf)  ;)

von Falk B. (falk)


Lesenswert?

Lother Miller ist der Hannibal Smith von mc.net.

"Ich liebe es wenn ein FPGA konfiguriert!"

;-)

von Εrnst B. (ernst)


Lesenswert?

Natürlich war's Bruce Schneier
http://www.schneierfacts.com/

> Bruce Schneier can tell you where to find your GPG key into the digits of PI.

von Simon H. (simi)


Lesenswert?

Lothar Miller benötigt nur zwei Tool, um ASICs zu entwickeln: Paintbrush 
und einen Mail-Client.

von Valko Z. (hydravliska)


Lesenswert?

Lothar Miller Fan schrieb:
> Lothar Miller kann zwei Bit in einem FlipFlop speichern!
>
> Lothar Miller erkennt Latches im Bitfile!

die zwei find ich richtig genial :-)

von Noch drei (Gast)


Lesenswert?

Das einzige Zahlensystem, das Lothar Miller nicht beherrscht, ist das 
Dezimalsystem. Wozu auch? Er braucht es ja nicht.

Lothar Miller braucht Setup- und Holdzeiten nicht zu beachten.

Die Synthesetools versuchen Lothar Miller nachzuahmen.

von enan (Gast)


Lesenswert?

Aber mal im Ernst,
Lothar hat hier schon vielen kompetent geholfen.
Immer weiter so.
Gruß Sebastian

von Simon H. (simi)


Lesenswert?

Wenn der Syntax-Check an Lothar Miller's Arbeitsplatz einen Fehler 
findet, wird der sofort an die IDE-Entwicklungsbude gemeldet - zwecks 
Korrektur des Parsers.

von Stefan (Gast)


Lesenswert?

Lothar Miller Synthetisiert nicht, Synthesetools  Lothar Millern.

von Der Weise (Gast)


Lesenswert?

Lothar Miller kann in VHDL lesbaren & wiederverwendbaren Code schreiben.

von D. I. (Gast)


Lesenswert?

Lothar Millers Flip Flops reagieren auf beide Taktflanken

von Simon H. (simi)


Lesenswert?

Lothar Miller kann einen Zähler, eine ALU und zwei Schieberegister in 
einen PLL-Block reinsynthetisieren.

von Höffi (Gast)


Lesenswert?

Lothar Miller kann die Pins moderner FPGAs in den undokumentierten "LM 
Modus" konfigurieren, dann sind sie 5V tolerant.

von August (Gast)


Lesenswert?

Das Notizbuch von Lothar Miller wird auch IEEE 1076-2012 genannt!

von Nachrichtentechniker (Gast)


Lesenswert?

Harry schrieb:
> Oh Mann! Ich hasse Korinthenkaker

Nur weil Du nichts verstehst, wirst Du mir Beleidungen um Dich.

Aber dankenswerterweise sind ja viele so wie du: Rumnörgeln statt 
nachfragen und lernen, daher sind auch viele auf genügend geringem 
Niveau stehen geblieben in ihrer Entwicklung (und damit ist nicht nur 
die technische gemeint)

Mehrdimensional heisst hier: Mit mehreren parallelen 
Verarbeitungseinheiten die unterschiedlich einrasten.

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

Nachrichtentechniker schrieb:
> Lothar Miller Fan schrieb:
>> Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!
>
> Das ist in der Tat machbar, wenn der Empfänger die Nachrichten
> mehrdimensional analysiert und die CRCs erkennt. Nach dem ersten Zeichen
> und etwas Delay hat man es. Danach muss man nur noch eine Analyse
> machen, ob sich Bits nach hinten oder vorne verschieben, die Annahmen
> auswerten und die darauf basierenden falschen Pfade verwerfen.

wie wird dann die CRC gefunden? Die muss doch sicher erkannt werden?



Und ich muss auch sagen, bei Lothar habe ich alles gelernt was ich wo 
anders nie gelernt hätte. Ich hoffe der Lothar und auch die anderen 
Diskussionspartner bleiben hier noch eine Weile erhalten.

von J. S. (engineer) Benutzerseite


Lesenswert?

Auch von mir Gratulation an Lothar, wobei ich nicht sicher bin, ob der 
TO das nicht ironisch gemeint hat. (?)

>wie wird dann die CRC gefunden?
Sowas Ähnliches habe ich schon mal gemacht: Man muss theoretisch den 
gesamten Datenstrom abtasten und jedes Bit als Startbit annehmen und die 
Kombis durchrechnen. Im Grunde ist es ein Druchprobieren. Es war damals 
ein HC08 der 1,5kHZ seriell zu verarbeiten hatte, da ging das recht gut. 
Mit einem FPGA müsste es bei paralleler Auswertung bis zu 1/n-tel der 
Datenlänge gehen, also bei z.B. 50MHz und 6x8 Datenbits mit immerhin 
1MHz. Natürlich muss das DAtenformart komplett bekannt sein und man wird 
mehr, als eine Nachricht brauchen, falls mehr, als eine zufällig 
richtige CRC-Summe rauskommmt. Also keine Hexerei. Mich würde da eher 
interessieren, wie man 2 Bits pro FF speichen kann. :-)

von 900ss (900ss)


Lesenswert?

Nachrichtentechniker schrieb:
> Harry schrieb:
>> Oh Mann! Ich hasse Korinthenkaker
>
> Nur weil Du nichts verstehst, wirst Du mir Beleidungen um Dich.

In diesem Fall hast glaube ich du nicht verstanden :-)

von Kan a. (Firma: Basta) (kanasta)


Lesenswert?

Lothar Miller ist Nachrichtentechniker.

von Tjaja (Gast)


Lesenswert?

Kleine Xilinxe haben so viel Angst vor Lothar Miller, dass sie sich in 
die NULL machen! (manchmal auch in die Eins)

von Ajoo (Gast)


Lesenswert?

Tjaja schrieb:
> Kleine Xilinxe haben so viel Angst vor Lothar Miller, dass sie sich in
> die NULL machen! (manchmal auch in die Eins)

Nennt man dass dann "Glitch in der Hose"?

von Tjaja (Gast)


Lesenswert?

Ajoo schrieb:
> Nennt man dass dann "Glitch in der Hose"?

Bei einem Menschen ja, bei einem FPGA gehts direkt aufs PCB.

P.S: Jetzt weis ich auch wo die Wärmeleitpaste her kommt ;-)

von L.O.L ?! (Gast)


Lesenswert?

Ich bin eindeutig von Freaks umgeben.

von Kan a. (Firma: Basta) (kanasta)


Lesenswert?

mhh...überlege gerade, was dann ein hosenhazard wäre...

von RoHS (Gast)


Lesenswert?

Auf alle Fälle folgt Bremsspur nach Race-Condition!

von Mampf F. (mampf) Benutzerseite


Lesenswert?

Hoffentlich wird er kein Stalker :)

von AlteraUser (Gast)


Lesenswert?

.. auch Lothar kocht nur mit Wasser. Gelegentlich verwendet er Wasser, 
um es zu verdünnen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

AlteraUser schrieb:
> .. auch Lothar kocht nur mit Wasser.
Aber mit mehr Hitze...  ;-)
Da hätte ich noch eine Frage: kann Wasser anbrennen?

von ... (Gast)


Lesenswert?

Karl Ranzeier ist gestorben.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

... schrieb:
> Karl Ranzeier ist gestorben.
Der auch? Sein verschwägerter Halbonkel Karl Ranseier nämlich auch...

von Fabian (Gast)


Lesenswert?

Lothar Miller routet inzwischen zwar mit Eagle 6, aber direkt im 
XML-File!

von Gady Laga (Gast)


Lesenswert?

Fabian schrieb:
> Lothar Miller routet inzwischen zwar mit Eagle 6, aber direkt im
> XML-File!

Nee,direkt an der Fräsmaschine mit beiden Händen an den Kurbeln!

von Martin R. (Firma: student) (martino)


Lesenswert?

Lotta Miller ist der Wilhelm Tell im FPGA Geschäft !

Danke für alles

von Karl (Gast)


Lesenswert?

Einigen würde ich empfehlen, nicht immer in Herrn Millers Out-Of-The-Box
nachzuschauen, sondern einfach mal selber nachzudenken. Bei späteren 
eigenen Designs müsst ihr auch Euren eigenen Kopf verwenden.

Aber die Versuchung wird ja forciert ... finde ich nicht gut, immer 
einen Link mit einer quasi-fertigen Lösung vor die Nase gesetzt zu 
bekommen.


Der Karl

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Karl schrieb:
> Einigen würde ich empfehlen, nicht immer in Herrn Millers Out-Of-The-Box
> nachzuschauen, sondern einfach mal selber nachzudenken.
Das würde ich prinzipiell allen empfehlen.

> Aber die Versuchung wird ja forciert ... finde ich nicht gut, immer
> einen Link mit einer quasi-fertigen Lösung vor die Nase gesetzt zu
> bekommen.
Was soll ich dann machen? Für jeden Teilnehmer eine eigene, auf seine 
Bedürfnisse abgestimmte Nachhilfestunde? Ich serviere da einfach mal 
eine fertige Lösung und gehe davon aus, dass der geneigte Anwender das 
selber analysiert. Und dann evtl. mal die Denkweise und die Ansätze 
kapiert. So mache ich das z.B. mit den bisweilen ans Geniale grenzenden 
Codeschnipseln von Peter Dannegger: ansehen, analysieren und verstehen. 
Und dann bei Bedarf erweitern. Das Ergebnis ist dann z.B. die 
Beschleunigung für den Drehgeber: 
http://www.lothar-miller.de/s9y/archives/71-Drehgeberauswertung-mit-Beschleunigung.html
Kurz: ich muss nicht alles neu herleiten und erfinden. Ich darf 
durchaus was Fertiges einsetzen. Nur sollte ich es dann auch verstanden 
haben.

> Bei späteren eigenen Designs müsst ihr auch Euren eigenen Kopf verwenden.
Wenn aber einer damit zufrieden ist, nur den VHDL-Codeschnipsel bei sich 
einzubauen und die Arbeit an den Lehrer abzuliefern, dann ist das 
seine Sache. Er hat eben nichts gelernt...

von Erik (Gast)


Lesenswert?

> Lothar Miller benutzt kombinatorische Schleifen weil es Ihm Spass macht!

Ich auch.

> Lothar Miller benutzt Variablen!

Ich auch, sogar oft.

> Lothar Miller kann ein Signal aus zwei Prozessen zuweisen!

Ich auch, mach ich nur nie.

> Lothar Miller implementiert seine State Machines mit der 0-Prozess
> Schreibweise!

Ich auch, zumindest in meinen VHDL-Anfängen aber das ist eine andere 
Story.

> Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!

Das is ja auch keine Hexerei.


Bin ich jetzt auch ein Gott? Oder wenigstens ein Halbgott?


Grüße
Erik


PS.: Lothar gehört hier IMO zum wertvollen Inventar.
Knapp 16000 Beiträge in gut 4 Jahren ist schon eine reife Leistung!
Das macht immerhin eine Beitragsfrequenz von ca. 117,952 µHz

von Duke Scarring (Gast)


Lesenswert?

Erik schrieb:
> Bin ich jetzt auch ein Gott? Oder wenigstens ein Halbgott?
Nein. Du bist nur neidisch ;-)

Duke

von Gunb (Gast)


Lesenswert?

Lothar Miller klingt am Ende wie Müller, heißt aber Miller - nicht 
Goethe, auch nicht Schiller!

Und fraget man sich, wieso "Miller" statt "Müller", am Ende die Antwort 
könnte sein er sei Angelsachse, was ein Knüller!

Ob RS232, Xilinx, LUT oder Schleifen, nur der Fachmann wird's 
letztendlich begreifen!

Drum fragt sich der einfache Leser dieses Threads am meisten, was soll 
das Gesülze hier leisten?

Zu lang' vor'm Rechner, zu viel geproggt, der Programmierer bei schönem 
Wetter zu lang in der Bude gehockt?

Zurück zur Normalität - es kann helfen, auszuschalten das 
Programmiergerät!

Ob Mikrocontroller, DSP oder FPGA, es wird immer mehr, von Jahr zu Jahr.

Des einen Leid, des anderen Freud, der Entwickler ist ein einsamer 
Genosse, heut!

Drum braucht man sich nicht zu fragen, warum Threads wie dieser auf 
einmal aus der Liste der Neubeiträge ragen!

von Oliver H. (Firma: OliverHeinrichs.de) (dobson)


Lesenswert?

Erik schrieb:
> Das macht immerhin eine Beitragsfrequenz von ca. 117,952 µHz

Find ich gut.

Aber auch hier nochmal:
Danke für deine Hilfe Lothar.

von Zorg (Gast)


Lesenswert?

> Und fraget man sich, wieso "Miller" statt "Müller",


Wegen der Miller Kapazität natürlich ;-)

von Erik (Gast)


Lesenswert?

Duke Scarring schrieb:
> Nein. Du bist nur neidisch ;-)

Stimmt, aber nicht auf seine Beitragsfrequenz, dafür fehlt mir die Zeit.


Erik

von woko (Gast)


Lesenswert?

- Wenn Lothar Miller einen FPGA lädt, bedankt sich der FPGA dafür.

- Lothar Miller wuchs zweisprachig auf: VHDL und Verilog

- Lothar Miller hat noch nie einen Strichpunkt im VHDL Code vergessen..

Viele Grüsse und vielen Dank für deine Beiträge.

von Klaus (Gast)


Lesenswert?

woko schrieb:
> Lothar Miller hat noch nie einen Strichpunkt im VHDL Code vergessen..

Oder einen zu viel gemacht.

WARUM ZUM HENKER DARF NACH DEM LETZTEN SIGNAL IN EINER PORT-ANWEISUNG 
KEIN ';' MEHR STEHEN, DAS IST DOCH UNLOGISCH!!!

So, jetzt gehts mir besser =)

von D. I. (Gast)


Lesenswert?

Klaus schrieb:
> WARUM ZUM HENKER DARF NACH DEM LETZTEN SIGNAL IN EINER PORT-ANWEISUNG
> KEIN ';' MEHR STEHEN, DAS IST DOCH UNLOGISCH!!!

<klugscheiß>
na weil das eine ;-separierte Liste ist, ist doch logisch ;)
</klugscheiß>

von Ingo (Gast)


Lesenswert?

Lothar Miller lötet bleifrei auf 50 Grad C
Lothar Miller kann Kapazitäten mit den Fingern messen
Lothar Miller rechnet im Kopf genauer als Excel
Lothal Miller kennt alle Stellen von PI
Lothar Miller hat bis unendlich gezählt, zweimal

von Heiner (Gast)


Lesenswert?

Lothar kann seine Homepage abchalten, weil alle google links hierher 
führen

von SuperWilly (Gast)


Lesenswert?

Lothar Miller schafft eine Endlosschleife in 1.1 Sekunden

von Wat (Gast)


Lesenswert?

>WARUM ZUM HENKER DARF NACH DEM LETZTEN SIGNAL IN EINER PORT-ANWEISUNG
>KEIN ';' MEHR STEHEN, DAS IST DOCH UNLOGISCH!!!

Kann ich sehr gut mitfühlen. Mach ich jedesmal falsch!

von Jean Neo Leander (Gast)


Lesenswert?

Lothar Miller braucht keine Setup-Zeit, er ist immer bereit!

von markus (Gast)


Lesenswert?

Der Umrechnungsfaktor von einer SI Einheit in die entsprechende LM 
Einheit ist immer 1

von Gunb (Gast)


Lesenswert?

Loddar Matthäus oder Miller, die Frage bleibt, welcher ist schriller?

Der eine auf dem Rasen ist der Superstaaa, der andere halt beim FPGA!

Selten der Erste hatte solche Threads, dem Zweiten scheint es zu 
reichen, jetzt!

Ohne einen weiteren Kommentar, ihn sicher wundert's selbst, das hiesige 
Bla Bla Bla!

Kann er wirklich rechnen genauer als Excel, oder am Ende das Resultat 
ist doch ein reines Zahlenverwechsel?

Mir isset echt egal, die tollsten Geschichten, sie stehen zu Hause in 
meinem Ikea-Regal!

Genug der Reimerei, ich muss für mein Geld noch watt arbeiten - 
Schnweinerei!

von Jean Neo Leander (Gast)


Lesenswert?

Ach ja, das Wichtigste:
Lothar Miller braucht keine Resets, allein durch seinen bösen Blick 
bringt er die FFs immer in einen richtigen PowerUp-Zustand.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Jean Neo Leander schrieb:
> allein durch seinen bösen Blick
> bringt er die FFs immer in einen richtigen PowerUp-Zustand.
Da muss ich gar nicht erst böse blicken. Die machen das schon von sich 
aus richtig. Man muss sie nur lassen... ;-)

von Stephan Jäger (Gast)


Lesenswert?

> .. auch Lothar kocht nur mit Wasser. Gelegentlich verwendet er Wasser,
> um es zu verdünnen.

Lothar Miller ist so geizig, er würde sogar Wasser verdünnen, wenn er 
wüsste wie!

Lothar Miller kann durch Null teilen!

Und Lothar Miller hat mit einem FlipFlop bis zur Unendlichkeit 
gezählt... zweimal!

Aber mal im Ernst, wenn ich bisher irgendwas gebraucht habe, bin ich 
immer irgendwie bei seinen Beiträgen gelandet. Klar musste man dann noch 
etwas anpassen, aber die grundlegende Vorgehensweise war dann klar! Und 
reines COPY&PASTE ist ja wohl absolut u17r4 14m3!
Und was mich vorallem sehr viel mehr beeindruckt ist, dass er sich die 
Zeit genommen hat, alles erstmal niederzuschreiben, sowas wird gern 
nicht wirklich wahrgenommen! Man kann über seine Website sehr schnell zu 
bestimmten code kommen. Die meisten Wissen garnicht, was da für Arbeit 
und Fleiss dahinter steckt!

Es bleibt mir nur zu sagen:

"Verehrter Lothar Miller, ach wenn der Zucker doch bloß auch so süß wäre 
wie Sie Sir!"

von Bernd (Gast)


Lesenswert?

Gady Laga schrieb:
> Fabian schrieb:
>> Lothar Miller routet inzwischen zwar mit Eagle 6, aber direkt im
>> XML-File!
>
> Nee,direkt an der Fräsmaschine mit beiden Händen an den Kurbeln!

Und zwar alle 16 Layer gleichzeitig!

von Fetz (Gast)


Lesenswert?

Wow krass ... Ich muss kotzen :-D

von Franco (Gast)


Lesenswert?

Ganz im Ernst:
Was ich an LM sehr schätze, ist daß seine Antwort immer freundlich sind.
Von ihm kommen keine doofen Kommentare wie "ein normaler Mensch lernt 
sowas in der Schule".
Hut ab, weiter so!

Obligatorischer Joke:
LMs Designs erfüllen immer die Timing-Constrains, weil sich ISE nicht 
traut, ihn zu enttäuschen.

von g. b. (gunb)


Lesenswert?

Franco schrieb:
> Was ich an LM sehr schätze, ist daß seine Antwort immer freundlich sind.
> Von ihm kommen keine doofen Kommentare wie "ein normaler Mensch lernt
> sowas in der Schule".
> Hut ab, weiter so!

Ein freundlicher Kollege dieser Zeit, allseits willkommen, weit und 
breit!

Der heut'gen Generation die alten Werte gehen verloren, was wird da 
eigentlich geboren!?

Des Lothar's Kompetenz und Rat, alleine sie wären nichts, ohne dessen 
freundliche Gestig, Tag für Tag!

Ein einziges Wort der menschlichen Art, vielen Ingenieuren tät's gut, im 
alltäglich technischen Geschrat.

Deshalb es ist die Art des Kommunizieren, die solche wie diesen Thread 
mögen produzieren!


;-)

von -_- (Gast)


Lesenswert?

Lothar Miller Fan schrieb:
> Lothar Miller benutzt kombinatorische Schleifen weil es Ihm Spass macht!
> Lothar Miller implementiert alle Designs mit einer LUT!
> Lothar Miller braucht keinen Takt für synchrone Prozesse!
> Lothar Miller benutzt Variablen!
> Lothar Miller kann einen Xilinx FPGA mit Quartus programmieren!
> Lothar Miller kann ein Signal aus zwei Prozessen zuweisen!
> Lothar Miller kann das TEXTIO Package synthetisieren!
> Lothar Miller implementiert seine State Machines mit der 0-Prozess
> Schreibweise!
> Lothar Miller kann zwei Bit in einem FlipFlop speichern!
> Lothar Miller erkennt Latches im Bitfile!
> Lothar Miller implementiert kombinatorische Pfade ohne Delay!
> Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!


Wer ist dieser Lothar Miller?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

-_- schrieb:
> Wer ist dieser Lothar Miller?
Ich bins:
Hardware-Spezi, Musiker und Sänger....  ;-)

Mein Motto:
wie man in den Wald hineinruft, so schallt es auch wieder heraus!

Und:
danke allseits für das Lob...

von g. b. (gunb)


Lesenswert?

Lothar Miller schrieb:
> -_- schrieb:
>> Wer ist dieser Lothar Miller?
> Ich bins:
> Hardware-Spezi, Musiker und Sänger....  ;-)
>
> Mein Motto:
> wie man in den Wald hineinruft, so schallt es auch wieder heraus!

Gab' auch mal einen Glenn Miller, den fand ich klasse!

;-)

von -_- (Gast)


Lesenswert?

Lothar Miller schrieb:
> Mein Motto:
> wie man in den Wald hineinruft, so schallt es auch wieder heraus!

Gilt das auch für Dein Bassverstärker? grins

Vergiss Deine Gesangsprobe am Freitag den 13.4.2012 nicht.
Quelle:
http://www.frohsinn-rot.de/s9y/

Für alle die es interessiert, die "Miller Familie" ist eine 
Musikfamilie.
Quelle:
http://www.musikverein-rot.de/index.html?http://www.musikverein-rot.de/wir.html

Ich finde das gut...

In welcher Musikrichtung bewegst Du Dich?

von D. I. (Gast)


Lesenswert?

http://www.frohsinn-rot.de/s9y/uploads/Bilder/P1060624.JPG

In meiner Vorstellung sahst du anders aus :D

von -_- (Gast)


Lesenswert?

D. I. schrieb:
> http://www.frohsinn-rot.de/s9y/uploads/Bilder/P1060624.JPG
>
> In meiner Vorstellung sahst du anders aus :D

Rechts aussen, hast Dich gut gehalten Lothar...

Sex Drugs and Rock'n Roll... ;-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

-_- schrieb:
> In welcher Musikrichtung bewegst Du Dich?
Ich kenne die B-Tonarten (diverse Musikvereine), mache ab&an Rock 
(moderat, Richtung Tanzmukke), spiele das gemeine Volkslied und bin in 
der Kirchenmusik unterwegs...

> die "Miller Familie" ist eine Musikfamilie.
Prinzipiell stimmt das (Orgel, Posaune, Bässe, Gitarre, Klavier, 
Querflöte), aber die ganzen Miller aus dem Link sind eigentlich nicht 
alles die selben Miller. Es gibt nur so viele davon...  :-o

> Vergiss Deine Gesangsprobe am Freitag den 13.4.2012 nicht.
Wird schon nicht passieren, habe ich selber eingetragen...

D. I. schrieb:
> In meiner Vorstellung sahst du anders aus :D
So hat ein anständiger Basssänger einfach auszusehen... ;-)
Aber mich würde deine Vorstellung interessieren.

von D. I. (Gast)


Lesenswert?

Lothar Miller schrieb:
> D. I. schrieb:
>> In meiner Vorstellung sahst du anders aus :D
> So hat ein anständiger Basssänger einfach auszusehen... ;-)
> Aber mich würde deine Vorstellung interessieren.

Sollte ich mal ein Bild finden was dem nahe kommt werde ich dich es 
wissen lassen.

Jedenfalls volleres schwarzes Haar, aus welchen Gründen auch immer :)

von Micha V. (micha_von_bonn)


Lesenswert?

Lothar Miller antwortet auf deine Frage bevor du sie gestellt hast!

von Ule (Gast)


Lesenswert?

findet ihr das jetzt nicht ein wenig lächerlich, eine Person für ihr 
Wissen herauszuheben, so als gäbe es keine anderen, die denken können?

Na gut, falls es noch nicht gesagt wurde, steure ich das Miller-Theorem 
und die Miller-Kapazität bei.

von Grendel (Gast)


Lesenswert?

Ule schrieb:
> findet ihr das jetzt nicht ein wenig lächerlich, eine Person für ihr
> Wissen herauszuheben, so als gäbe es keine anderen, die denken können?

Finde es viel lächerlicher wie einige "Stars" in den Medien 
herausgehoben werden obwohl sie eigentlich nur dumm in der Landschaft 
rumstehen und gut aussehen.

;-)

von peter (Gast)


Lesenswert?

Hmmm..., ganz schön tief im sozialen Abseits, der Abgrund?

Gruss

von Raymund H. (raymund_h)


Lesenswert?

Ich find den Loddar M. auch klasse, denn mit den Frauen hat er es drauf.

von Binärbär (Gast)


Lesenswert?

Ule schrieb:
> findet ihr das jetzt nicht ein wenig lächerlich, eine Person für ihr
> Wissen herauszuheben, so als gäbe es keine anderen, die denken können?

peter gehört auf jeden fall nicht dazu! :-)

von ich auch (Gast)


Lesenswert?

Lothar Miller???!... ja gibts den denn wirklich???   boaahhh...

von Chuck Norris (Gast)


Lesenswert?

Lothar Miller Fan schrieb:
> Lothar Miller benutzt kombinatorische Schleifen weil es Ihm Spass macht!
>
> Lothar Miller implementiert alle Designs mit einer LUT!
>
> Lothar Miller braucht keinen Takt für synchrone Prozesse!
>
> Lothar Miller benutzt Variablen!
>
> Lothar Miller kann einen Xilinx FPGA mit Quartus programmieren!
>
> Lothar Miller kann ein Signal aus zwei Prozessen zuweisen!
>
> Lothar Miller kann das TEXTIO Package synthetisieren!
>
> Lothar Miller implementiert seine State Machines mit der 0-Prozess
> Schreibweise!
>
> Lothar Miller kann zwei Bit in einem FlipFlop speichern!
>
> Lothar Miller erkennt Latches im Bitfile!
>
> Lothar Miller implementiert kombinatorische Pfade ohne Delay!
>
> Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!


Lothar Miller erkannte seinen Ego-Mangel.

Lothar Miller wurde Lothar Miller Fan.

Lothar Miller war mein Pada..... ehhh Schüler.


Euer Chuck

von Karsten F. (Firma: von Dänemark) (bingo600)


Lesenswert?

I would also like to thank Lothar for taking the time to write alle the 
usefull ansvers to the users of this forum.

I'm a VHDL beginner  and havent posted any questions here yet.

But seeing ansvers like this
Beitrag "Re: Spielerein mit Gatter : And ,Or usw in VHDL?"

Where Lothar has taken the time to define all the gates , is really 
super helpfull for me (my level).

So thank you Lothar.

I'm also trying to understand the many pages on your homepage (i read 
german ok) , but some of the info is hard to understand at my current 
level.

And i'm not even comming to testbenches yet ......

Right now i'm trying to understand the & operator (concatenation) , but 
comming from a "C" background it's a bit confusing.
I think i might have goten "it" , it's not "and" , but strcat() , for 
std_logic vectors.



Regards
Bingo - Dänemark

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Karsten F. schrieb:
> I think i might have goten "it" , it's not "and" , but strcat() , for
> std_logic vectors.
Fairly right. See the manes of them also: in VHDL the &-operator is the 
"concatenation" operator. And what does strcat() mean? The name strcat 
is simply an abbreviation of "string concatenate". See the obvious?

And in fact the std_logic_vector in not much more than a "string" of 
some std_logic. It is a bit by bit calculation to come from a 
std_logic_vector to an integer number. See the to_integer() function in 
the numeric_std.

> So thank you Lothar.
You're welcome.

> I'm also trying to understand the many pages on your homepage (i read
> german ok) , but some of the info is hard to understand at my current
> level.
Just go on. The more you get in the easier it becomes...  ;-)

von Student (Gast)


Lesenswert?

Jetzt verstehe ich auch endlich was dieses VHDL immer in den 
Threadtiteln soll:

Vie Handhabt's Der Lothar

von J. S. (engineer) Benutzerseite


Lesenswert?

Student schrieb:
> Jetzt verstehe ich auch endlich was dieses VHDL immer in den
> Threadtiteln soll:
>
> Vie Handhabt's Der Lothar

Gibt ja eine Menge Kreative hier - man sollte mal ein Treffen machen. 
Wir wäre es mit einem Weihnachtsüberraschungs-Flah-Mob vor dem 
Millerhäusechen? :D

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Jürgen Schuhmacher schrieb:
> Wir wäre es mit einem Weihnachtsüberraschungs-Flah-Mob vor dem
> Millerhäusechen? :D
Ich bin nicht da, ihr müsst alleine feiern (vielleicht brennt unten in 
der Bachbude ja noch Licht oder in der Ried-Bude oder der Weiherbude 
[http://weberberg.de/buden.html] sonst gibts leider nur noch den 
Frischen, den Ritter, den Grünen Baum und das Bahnhofsrestaurant gibts 
schon länger nicht mehr).


BTW: was ist ein Flah-Mob?
Und ausserdem ist das jetzt ja keine Überraschung mehr...  ;-)

: Bearbeitet durch Moderator
von Erklärbär (Gast)


Lesenswert?

Lothar Miller schrieb:
> BTW: was ist ein Flah-Mob?

Ein flashmob, bei dem das "s" nicht erschienen ist.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Erklärbär schrieb:
> Ein flashmob, bei dem das "s" nicht erschienen ist.
Fängt schon gut an...  ;-)

von Duke Scarring (Gast)


Lesenswert?

Alles gut, solange nicht nur noch der Mob übrig bleibt...

von foo (Gast)


Lesenswert?

Lothar Miller Fan schrieb:
> Lothar Miller übertragt Daten per RS232 ohne Start- und Stopbit!

Nur weil du es nicht kennst, bedeutet das noch lange nicht, dass das 
nicht geht.
Eine gewisse Computerbastelbude aus Armonk machte das Jahre lang so, 
nannte es SDLC und HDLC, und verwendete dafür elektrische 
Spezifikationen, die, rein zufällig natürlich, der RS-232 Norm 
entsprachen.

Wer bisher nur die popeligen UARTs des PC kannte, sollte sich mal die 
Datenblätter von Zilogs  Z-SIO reinziehen!

René D. schrieb:
> wie wird dann die CRC gefunden? Die muss doch sicher erkannt werden?

Dazu muss man erst einmal den Blockanfang finden.
Nach wievielen Bytes dann  der CRC zu finden ist, wird genau wie bei den 
asynchronen Verfahren, per Konvention festgelegt.

Bei diesen synchronen Verfahren werden die Daten bitweise zu Blöcken 
zusammengefasst, innerhalb derer aber durchaus Pausen vorkommen können, 
falls der Sender die Daten nicht schnell genug liefern kann.
Damit während dieser Pausen die Synchronisation nicht verloren geht, 
fügt die Hardware des USART automatisch Idle-Sequenzen ein, die der 
Empfänger einfach wegwirft.

Auch fügt die USART-Hardware automatisch Nullen ein, die der Empfänger 
ebenso automatisch wegwirft, damit nicht durch zu viele aufeinander 
folgende Einsen die Synchronisation gefährdet wird.
Wann und wie dieses Bit-Stuffing passiert, ist genauestens spezifiziert, 
und deshalb ist auf solch einer Datenleitung immer "etwas los", auch 
wenn keine Daten übertragen werden.
Auf diese Weise stehen stets genügend Flanken zu Verfügung, dass die PLL 
im Empfänger synchron bleibt.

Neben diesen Datenbits, die so übertragen werden, dass maximal 5 
aufeinanderfolgende Einsen entstehen, werden auch spezielle Block-Marken 
übertragen, die im Prinzip eine Protokollverletzung darstellen und aus 6 
aufeinanderfolgenden Einsen "01111110" bestehen .
Damit kann der Empfänger dann den Blockanfang feststellen, und "weiß" 
dann auch, wann Informationen wie z.B. Adresse, oder eben der CRC 
kommen.



Deshalb kann sich ein zu be

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

foo schrieb:
> die, rein zufällig natürlich, der RS-232 Norm entsprachen.
Also haben die es eben doch mit Start- und Stopbit übertragen. Nur 
sind die einfach unter falscher Flagge gesegelt... ;-)

von berndl (Gast)


Lesenswert?

foo schrieb:
> Eine gewisse Computerbastelbude aus Armonk machte das Jahre lang so,
> nannte es SDLC und HDLC, und verwendete dafür elektrische
> Spezifikationen, die, rein zufällig natürlich, der RS-232 Norm
> entsprachen.

und wenn man bedenkt, dass es darauf basiert:
http://en.wikipedia.org/wiki/8b/10b_encoding
(Patent von 1983) und Intel dann zuuuufaelligerweise mit so Sachen wie 
SATA, USB, PCI-Express nach 20 Jahren auf den Markt kam (als das Patent 
abgelaufen war) koennte man schon leicht grinsen. Wenn man bedenkt, 
welche komischen Patente von IBM von anderen fuer den PC lizensiert 
wurden (Floppy-Seek, Tastaturcontroller, ...) und fuer was richtig 
pfiffiges wollte keiner Geld ausgeben...

von (prx) A. K. (prx)


Lesenswert?

Lothar Miller schrieb:
> Also haben die es eben doch mit Start- und Stopbit übertragen. Nur
> sind die einfach unter falscher Flagge gesegelt... ;-)

Eher Start- und Stopbytes (BISYNC).

von (prx) A. K. (prx)


Lesenswert?

berndl schrieb:
> und wenn man bedenkt, dass es darauf basiert:
> http://en.wikipedia.org/wiki/8b/10b_encoding

Mit dem bitsynchronen SDLC (1975) hat dieser wortsynchrone Code recht 
wenig zu tun. Nicht einmal die Ziele sind gleich, denn dieser 8/10-Bit 
Code adressiert neben Taktrückgewinnung und Framesychronisation auch 
DC-Freiheit, worum sich SDLC nicht scherte.

> und fuer was richtig pfiffiges wollte keiner Geld ausgeben...

Oh doch, nur hat man dafür (Fibre Channel) eben auch ordentlich Geld 
ausgeben dürfen. Parallele Übertragung war damals noch praktikabel und 
in räumlich begrenztem Rahmen auch billiger.

Ausserdem galt bis in 90er ziemlich durch die Bank die goldene Regel, 
dass man sich keinesfalls zu sehr von IBMs Ideen abhängig machen darf, 
will man nicht letztlich unter deren Kontrolle geraten. Manche Konzepte 
sind auch deshalb vom Markt nicht angenommen worden, gerade weil sie von 
IBM kamen oder von IBM gepusht wurden. Das versteht heute kaum noch 
jemand, aber diese Renitenz war keine Paranoia.

: Bearbeitet durch User
von Markus F. (Gast)


Lesenswert?

Lothar Miller hat für die 2020 erscheinenden Systeme Xilinx Vivendo, 
Altera V-Sys und Lattice Titanium einen fertig simulierten 
DDR8-Controller in der Schublade.

von foo (Gast)


Lesenswert?

Lothar Miller schrieb:
> foo schrieb:
>> die, rein zufällig natürlich, der RS-232 Norm entsprachen.
> Also haben die es eben doch mit Start- und Stopbit übertragen.

Wieso denn nur?

>In RS-232, user data is sent as a time-series of bits.
>Both synchronous and asynchronous transmissions are supported by the standard.

Steht hier: http://en.wikipedia.org/wiki/RS-232

...und die synchronen Verfahren verwenden eben keine Start- und 
Stop-Bits.

von derty (Gast)


Lesenswert?

Zorg schrieb:
>> Und fraget man sich, wieso "Miller" statt "Müller",
>
> Wegen der Miller Kapazität natürlich ;-)

Nein, sondern um Code zu sparen:
ein i ist ein halbes ü.
(zur Verdeutlichung: das ü wurde früher auch oft als ii geschrieben).


Loide, es wird Zeit für Urlaubszeit!!!

von ;-) (Gast)


Lesenswert?

Lothar Miller kann VHDL PROGRAMMIEREN!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

;-) schrieb:
> Lothar Miller kann VHDL PROGRAMMIEREN!
Wenn ich mich arg zwinge und nicht drüber nachdenke schon. Aber ich 
werde es niemals öffentlich zugeben...  :-\

: Bearbeitet durch Moderator
von Gardener (Gast)


Lesenswert?

> Re: Hommage an Lothar Miller

Edgar Wallace: Der Hexer
Lothar Miller: Der Löscher

von VHDL-If-Schleifen-Programmierer (Gast)


Lesenswert?

Hey,

Deine Tipps und Tricks sind echt Gold wert!

Sag mal hättest du nicht mal Lust ein (Praxis)Buch über VHDL zu 
schreiben? Auf deiner Seite hast du ja schon jede Menge Material. Das 
müsstest du doch einfach nur aufbereiten und zu einem Buch verpacken.

Ich bin mir sicher, dass viele Leute darauf zurückgreifen würden. Ich 
wäre jedenfalls dabei!

Grüße!
;-)

von Fpgakuechle K. (Gast)


Lesenswert?

VHDL-If-Schleifen-Programmierer schrieb im Beitrag #3991898:

Deine Tipps und Tricks sind echt Gold wert!
>
> Sag mal hättest du nicht mal Lust ein (Praxis)Buch über VHDL zu
> schreiben?

Da ist was für Juli angekündigt. Ist aber wohl nicht von Lothar:
http://www.amazon.de/FPGAs-f%C3%BCr-Maker-praktische-programmierbare/dp/3864901731

MfG

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Fpga Kuechle schrieb:
> Da ist was für Juli angekündigt. Ist aber wohl nicht von Lothar
Nein, isses nicht. Ich würde sowieso ein Buch für Alle schreiben. 
Nicht nur für große Macker (ich denke die werden den Schreibfehler im 
Titel noch korrigieren... :-)

von VHDL-If-Schleifen-Programmierer (Gast)


Lesenswert?

Lothar Miller schrieb:
> Fpga Kuechle schrieb:
>> Da ist was für Juli angekündigt. Ist aber wohl nicht von Lothar
> Nein, isses nicht. Ich würde sowieso ein Buch für Alle schreiben.
> Nicht nur für große Macker (ich denke die werden den Schreibfehler im
> Titel noch korrigieren... :-)

Bedeutet dies, wir dürfen eines Tages auf ein Buch von Lothar Miller 
hoffen? :-))

von Ungeduldiger (Gast)


Lesenswert?

Hiermit ergeht die erste virtuelle Vorbestellung ;-)

von dome (Gast)


Lesenswert?

Tja, dieser Lothar Miller scheint ja hier sehr beliebt zu sein! xD

Hat auf jeden Fall was drauf, der Mann. Sieht alles sehr interessant aus 
auf deiner Seite.


Mal rein aus Neugier:

Was hast du denn eigentlich gelernt oder studiert?

von Tippgeber (Gast)


Lesenswert?

Lothar M. schrieb:
> Aber war das nicht Chuck Norris, der das alles kann und tut?  ;-)

Laut Herrn von der Lippe hat Chuck Norris dafür keine Zeit, da er die 
Projektleitung für die Fertigstellung des Berliner Flughafens übernommen 
hat. Eröffnung "morgen um 12.00".

von Mampf F. (mampf) Benutzerseite


Lesenswert?

Lothar Miller kann PIC16F84 mit AVR-Assembler programmieren <3

: Bearbeitet durch User
von Lothar Norris (Gast)


Lesenswert?

Mampf F. schrieb:
> Lothar Miller kann PIC16F84 mit AVR-Assembler programmieren

Ich dachte immer er macht das sowieso einfach mit einem selbst
geschriebenen Plug-In für die Xilinx IDE (WebPack 3.3).

von St. D. (st_d)


Lesenswert?

Lothar hat eine AI-Engine in einem Cool-Runner eingebaut: Sie hilft ihm 
beim Moderieren hier auf Forum.

von Chuck Miller (Gast)


Lesenswert?

Lothar Norris schrieb:
> Mampf F. schrieb:
>> Lothar Miller kann PIC16F84 mit AVR-Assembler programmieren
>
> Ich dachte immer er macht das sowieso einfach mit einem selbst
> geschriebenen Plug-In für die Xilinx IDE (WebPack 3.3).

Chuck kann Xilinx Chips auch mit Quartus oder Diamond beschreiben! Da 
brauchts kein ISE!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.