Forum: FPGA, VHDL & Co. CPLD Einstieg


von Felix L. (flex)


Lesenswert?

Hallo,

ich suche für den Einstieg in die Welt der CPLD´s noch ein passendes 
Board. Am besten sollte der CPLD in der PLCC Bauform sein.
Auf den Hersteller habe ich noch nicht geschaut. Es ist erstmal egal ob 
Altera, Xillinx oder Lattice.
Könnt Ihr mir helfen?

Gruß
Felix

von Falk B. (falk)


Lesenswert?

Für den Einstieg ist die gehäuseform egal ist ja schon auf dem Board. 
Kannst nach alten Coolrunnern oder 9500XL Tyen suchen, gibt es noch ein 
paar und man kann damit was anfangen.

http://www.reichelt.de/GALs-PALs/XC-9572XL-PC44/3/index.html?;ACTION=3;LA=446;ARTICLE=40158;

Ob CPLDs heute noch up to date sind ist umstritten. Für quasi das 
gleiche Geld und weniger bekommt man ein Spartan 2 oder Spartan 3 Board 
mit 1000facher Logikkapazität, kein Witz.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> Könnt Ihr mir helfen?
Welche Beschreibungssprache willst du nehmen?
VHDL, Verilog, Schematics?
Lies mal konzentriert den Beitrag "Dev Board für nur eine Arbeit"
Da geht es um CPLD vs. FPGA und um die Hardwarebeschreibung...

von Felix L. (flex)


Lesenswert?

Falk Brunner schrieb:
> Für den Einstieg ist die gehäuseform egal ist ja schon auf dem Board.

Ich möchte den CPLD aber später auf eine Lochrraster löten und 
verschiedene Schaltungen damit bauen.

Falk Brunner schrieb:
> Ob CPLDs heute noch up to date sind ist umstritten. Für quasi das
> gleiche Geld und weniger bekommt man ein Spartan 2 oder Spartan 3 Board
> mit 1000facher Logikkapazität, kein Witz.

Das habe ich auch schon gelesen. Das Problem bei den FPGA´s ist doch, 
dass sie einen externen Speicher benötigen oder ist das jetzt nicht mehr 
so?

Lothar Miller schrieb:
> Welche Beschreibungssprache willst du nehmen?
> VHDL, Verilog, Schematics?

Ich möchte in VHDL Programmieren. In der Schule machen wir Abel, aber 
ich würde gerne VHDL versuchen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> In der Schule machen wir Abel
Uuuups, letztes Jahrtausend...  :-o

Felix L. schrieb:
> Das habe ich auch schon gelesen. Das Problem bei den FPGA´s ist doch,
> dass sie einen externen Speicher benötigen oder ist das jetzt nicht mehr
> so?
Bitte zutreffendes ankreuzen:
[ ] ich habe den Beitrag "Re: CPLD Einstieg"
    nicht gelesen

Stichworte: MachXO, MachXO2, Spartan 3AN...
Allerdings gibt es diese schnuckeligen Dinger tatsächlich nicht mehr als 
5V-Hochspannungsausführungen...

von Felix L. (flex)


Lesenswert?

Lothar Miller schrieb:
> Uuuups, letztes Jahrtausend...  :-o

Ja, leider :(

Lothar Miller schrieb:
> Bitte zutreffendes ankreuzen:
> [ ] ich habe den Beitrag "Re: CPLD Einstieg"
>     nicht gelesen

Hab ich gelesen, vielleicht bisschen zu schnell :D
Was ich aber rausgefunden habe ist, dass es FPGA mit internem Speicher 
gibt. Allerding habe ich nich so große Projekte vor, dass ich ein FPGA 
benötige. Hier habe ich ein Board gefunden:
http://shop.embedded-projects.net/index.php?module=artikel&action=artikel&id=638

Aber warum ist dort ein externer SRAM drauf, ist doch ein CPLD?

Gruß

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> Aber warum ist dort ein externer SRAM drauf, ist doch ein CPLD?
Vielleicht willst du damit ja einen Logik-Analyzer bauen, oder eine 
Grafikkarte 
(http://www.ulrichradig.de/home/index.php/cpld/8bit_c_graka), dann wäre 
ein Speicher ganz gut. Wenn du ihn nicht brauchst: steck dden 
Speicherchip aus...

von Felix L. (flex)


Lesenswert?

Lothar Miller schrieb:
> Vielleicht willst du damit ja einen Logik-Analyzer bauen, oder eine
> Grafikkarte
> (http://www.ulrichradig.de/home/index.php/cpld/8bit_c_graka), dann wäre
> ein Speicher ganz gut. Wenn du ihn nicht brauchst: steck dden
> Speicherchip aus...

Danke für die Antwort.
Ist der XC9572 kompatibel mit dem XC9572XL

Gruß

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?


von Felix L. (flex)


Lesenswert?

Joe G. schrieb:
> Felix L. schrieb:
>> Könnt Ihr mir helfen?
>
> so was?
> 
http://www.pollin.de/shop/dt/MTM5OTgxOTk-/Bausaetze_Module/Bausaetze/Bausatz_CPLD_Evaluation_Board.html

Ja, aber leider ist der CPLD in SMD, mir ist wichtig dass es PLCC ist.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> Ist der XC9572 kompatibel mit dem XC9572XL
Nein. Das ist jetzt die Hochspannungsthematik. Der ohne XL ist noch ein 
Heizofen mit 5V. Der XL kann dann schon Stromsparen und kommt mit 3,3V 
aus.

von Felix L. (flex)


Lesenswert?

Lothar Miller schrieb:
> Felix L. schrieb:
>> Ist der XC9572 kompatibel mit dem XC9572XL
> Nein. Das ist jetzt die Hochspannungsthematik. Der ohne XL ist noch ein
> Heizofen mit 5V. Der XL kann dann schon Stromsparen und kommt mit 3,3V
> aus.

Alles klar. Ich finde das besser mit 5V, da ich von den Atmel µC die 5V 
habe. Aber warum Heizofen? So schlimm :D

von CPLD Interessierter (Gast)


Lesenswert?

Hi, ich möchte wie mich der Threadstarter in nächster Zeit mit CPLDs 
beschäftigen und Versuche auf Lochraster machen. Dabei scheinen mir die 
Boards von Dangerous Prototypes mit XC9572XL/CoolRunner 2 als geeignet:
http://dangerousprototypes.com/docs/CPLD:_Complex_programmable_logic_devices

Anscheinend muss man das Board noch mit einem Quarz bestücken. Für 
12,75€ finde ich das aber ok:
http://www.watterott.com/de/XC2C64A-CoolRunner-II-CPLD-development-board
http://www.watterott.com/de/XC9572XL-CPLD-development-board

Für die Anfänge sollte das doch ausreichen, oder habe ich da etwas 
übersehen?

von Sigi (Gast)


Lesenswert?

Ja, den Programmieradapter: Entweder auf Basis des
billigen Parallelport (hat nicht mehr jeder PC)
oder einen wesentlich teueren USB-Adapter (Orginal:
sehr teuer, Nachbauten/Alternativen: ab glaube ich
40E++)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> Aber warum Heizofen? So schlimm :D
Jepp. Sieh dir mal die Stromaufnahme an...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Sigi schrieb:
> hat nicht mehr jeder PC
Sollte nicht mehr jeder PC seit gut 10 Jahren haben...  ;-)
Ich würde keinen Cent mehr für einen Adapter am Parallelport ausgeben. 
Früher oder später stehst du mal mit dem Laptop da, und dann...  :-/

von Felix L. (flex)


Lesenswert?

Lothar Miller schrieb:
> Felix L. schrieb:
>> Aber warum Heizofen? So schlimm :D
> Jepp. Sieh dir mal die Stromaufnahme an...

65mA. Das ist zwar nicht gerade wenig aber für mich völlig i.O.

Gru0

von Felix L. (flex)


Lesenswert?

So,
ich habe mir jetz den XC9572XL mit Fassung für Lochraster und einen 3,3V 
Spannungsregler bestellt. Programmieren werde ich das ganze mit dem Bus 
Pirate.
An welchem Pin soll der Quarzoszillator? Woher weis ich, welche Pin Ein 
oder Ausgänge sind, ist das vielleicht so wie bei den µC? Dort kann man 
es programmieren.

Gruß

von Falk B. (falk)


Lesenswert?

@  Felix L. (flex)

>An welchem Pin soll der Quarzoszillator?

Dort wo GCLK dran steht.

> Woher weis ich, welche Pin Ein
>oder Ausgänge sind,

Das kannst und musst du programmieren.

von Felix L. (flex)


Lesenswert?

Danke für die Antwort.
Jetzt fehlt mir nur noch ein Pinout des XC9572XL im Sockel.

Gruß

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> Jetzt fehlt mir nur noch ein Pinout des XC9572XL im Sockel.
Sieh dir mal das Datenblatt an: ein paar Pins können Eingänge sein, ein 
paar können Ausgänge sein, und viele können beides...

von Joerg W. (joergwolfram)


Lesenswert?

Es ist aus empfehlenswert, für den Clock GCK1, GCK2 oder GCK3 zu 
verwenden (PLCC PIN 5/6/7), besonders wenn man das Taktsignal mehrfach 
im Design braucht. Einerseits aus Timing-Gründen und andererseits kann 
man damit Produkt-Terme einsparen.

Jörg

von Felix L. (flex)


Lesenswert?

Hallo,

ich bin gerade dabei ein Platinen Layout zu entwerfen. Kann ich auch für 
den Takt einen ATtiny 2313 mit einem Rechtecksignal nehmen? Wenn ich 
jetzt eine LED an den CPLD anschließen möchte, kann ich das direkt mit 
Vorwiderstand, oder soll ich einen Transistor nehmen? Welche Pins 
benötige ich für die Programmierung - TMS, TDO, TDI, TCK und GND? Soll 
ich die Eingänge am CPLD an denen ein Taster ist mit einem Pull Down auf 
GND ziehen?

Gruß
Felix

von Falk B. (falk)


Lesenswert?

@  Felix L. (flex)

>ich bin gerade dabei ein Platinen Layout zu entwerfen. Kann ich auch für
>den Takt einen ATtiny 2313 mit einem Rechtecksignal nehmen?

Ja.

> Wenn ich
>jetzt eine LED an den CPLD anschließen möchte, kann ich das direkt mit
>Vorwiderstand,

Ja.

>benötige ich für die Programmierung - TMS, TDO, TDI, TCK und GND?

Ja.

>Soll
>ich die Eingänge am CPLD an denen ein Taster ist mit einem Pull Down auf
>GND ziehen?

Kann man machen, muss man aber nicht, der CPLD hat intern zuschaltbare 
Pull- Up aund Downs.

von Duke Scarring (Gast)


Lesenswert?

Felix L. schrieb:
> Kann ich auch für
> den Takt einen ATtiny 2313 mit einem Rechtecksignal nehmen?
Ja.

> Wenn ich
> jetzt eine LED an den CPLD anschließen möchte, kann ich das direkt mit
> Vorwiderstand, oder soll ich einen Transistor nehmen?
Zur Strombelastung eines Pins schaut man ins Datenblatt. Vorwiderstand 
sollte reichen.

>Welche Pins
> benötige ich für die Programmierung - TMS, TDO, TDI, TCK und GND?
Ja.

> Soll
> ich die Eingänge am CPLD an denen ein Taster ist mit einem Pull Down auf
> GND ziehen?
Ja.

Duke

von Felix L. (flex)


Lesenswert?

Danke für die Antworten.
Ich finde aber das Pinout nicht. Im Datenblatt steht zwar, was welcher 
Pin ist, aber es wird nicht gezeigt wo er ist.

Gruß

von Falk B. (falk)


Lesenswert?

google plcc44 socket pinout

von Felix L. (flex)


Lesenswert?

Danke.
Und Bottom View ist dann wenn ich von unten auf die Pins schaue?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Felix L. schrieb:
> Und Bottom View ist dann wenn ich von unten auf die Pins schaue?
Ja.

von Felix L. (flex)


Lesenswert?

Hi,

heute habe ich mein erstes Programm geschrieben und auch erfolgreich 
übersetzt. Leider bekomme ich das Programm nicht in den CPLD. Als 
Programmiergerät verwende ich das aus den Clon aus der Bucht. Kann mir 
einer erklären wie das geht?

Gruß

von Duke Scarring (Gast)


Lesenswert?

Felix L. schrieb:
> Kann mir
> einer erklären wie das geht?
Bei Xilinx verwendet man "impact", wählt die entsprechende .jed-Datei 
aus, versorgt sein Schaltung mit Strom und drückt auf "program". Fertig.

Duke

von Felix L. (flex)


Lesenswert?

Danke für die Antwort.
Ich habe das Problem gefunde, ich habe vergessen Vref vom 
Programmiergerät anzuschließen. Jetzt leuchtet die LED grün und es geht 
:D

Was ich aber schade finde ist, dass der XC9572XL keine internen PullUp 
oder PullDown Widersände hat.

Gruß
Felix

von Uwe Bonnes (Gast)


Lesenswert?

XC9572XL hat PullUp oder Bus-Hold, aber nur global fur alle Pins.

XC9572 und XC9572XL unterscheiden sich auch in der Logik. Die 
Makrozellen  des XC9572XL koennen 54 Produktterme, die des XC9572 nur 
36.

Siehe dazu ds054 bzw ds065.

von Felix L. (flex)


Lesenswert?

Ich habe in der Pinbezeichnung folgendes geschrieben:
1
NET "LED" LOC = "4";
2
NET "Taster1" LOC = "40" |Pulldown ;
3
NET "Taster2" LOC = "42" |Pulldown ;

Der Befehl wurde aber ignoriert.

von Uwe Bonnes (Gast)


Lesenswert?

Pulldown gibt es beim XC9572 nicht. Und Pullup auch nur global!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.