Forum: FPGA, VHDL & Co. Suche Xilinx CPLD mit mind. 21 I/O im DIL


von Ralph H. (guru)


Lesenswert?

Ich suche einen CPLD idealerweise von XILINX, der mind.21 I/O Pins hat
und vorzugsweise im DIL Gehäuse oder PLCC Gehäuse kommt.
Habt ihr nen Tip für mich ?

Danke sagt Ralph

von Frank K. (fchk)


Lesenswert?

DIL gibts nicht. Im PLCC gibts die 9536/9572 Serie (44 und 84 Pins).

fchk

von user (Gast)


Lesenswert?


von Sigi (Gast)


Lesenswert?

Wie schon gesagt gibt's die XC9500XL auch als PLCC, nicht aber
in DIL - ausser du nimmst ein Adapterboard.
Auf der Trenz-Seite findest du das C-MOD von Digilent (ohne
Quarz?), oder z.B. auf EBay nach Xilinx+CPLD suchen. Ich
selber habe ein XL9572XL-Board inkl. JTAG+Quarz +LEDs (ca.
10Euro), dass zwar ein wenig breiter als das C-MOD ist, sich
aber wunderbar auf Breadboards einsetzen lässt.

von Ralph H. (guru)


Lesenswert?

@all.. Danke für Eure Hilfe.. aber ich brauch definitiv nen CPLD in nem 
DIL Gehäuse.  Die AdapterVariante mit dem XC9736 hab ich schon hier, die 
ist mit DIL 40 zu groß und auch zu teuer.
Notfalls nehme ich nen anderen Hersteller, Hauptsache möglichst ein 
DIL24 oder max. DIL 28.
Ich hab aber keinen Überblick welche CPLD's es so überhaupt gibt.
Habt ihr noch ne Idee ?

von Frank K. (fchk)


Lesenswert?

CPLD im DIL, da kannst Du lange und vergeblich suchen. Vielleicht hat 
Lattice noch was von ihrem alten Zeugs vorrätig. Aber dann viel Spaß mit 
dem Auftreiben des passenden Programmiergerätes und der DOS-Software.

fchk

von BT (Gast)


Lesenswert?

PLD gab es in DIP GAL etc.. Den fehlt halt das Complex - sprich ist 
nicht viel drinn und brauchten viel Strohm. CPLS in DIP sind mir nicht 
bekannt.

von Ralph H. (guru)


Lesenswert?

Aha.. na dann brauch ich ja nicht weiter nach sowas suchen.
Ich dachte schon ich bin zu blöd dazu.

Aber ich hab noch nen anderen Ansatz.. Ich brauch nen programmierbaren
IC der in der Lage ist, innerhalb von ca. 30ns in Abhängigkeit versch.
externer Signale Z80 AdressbussSignale 1:1 durchzuschalten.

von во'дка (Gast)


Lesenswert?

Wenn du die angestrebte Funktion mit einem µC nachbilden könntest - die 
gibt es in DIL Gehäusen :-).

von Davis (Gast)


Lesenswert?

во'дка schrieb:

> Wenn du die angestrebte Funktion ...

Das ist der Kernpunkt. Was willst du machen Ralph?

von Ralph H. (guru)


Lesenswert?

Ich möchte eine 6x9 Matrix schalten die in Abhängigkeit eines 6bit 
breiten Signales den Adressbuss einer mit 10Mhz getakteten CPU 
umschaltet.
Dazu sind die µC zu langsam. da ich ja dort erst den Port abfragen, 
berechnen und wieder ausgeben muss.
Da ist eben die CPLD Logik klar im Vorteil, weil schneller.

von Frank K. (fchk)


Lesenswert?

Nimm ein Virtex 4 und pack Deinen Z80 und die ganze Logik da hinein. 
Achja, der hat ja einen PPC als Hard Macro eingebaut - vielleicht 
brauchst Du dann Deinen albernen Z80 gar nicht mehr.

fchk

von Davis (Gast)


Lesenswert?

Ralph H. schrieb:

> Ich möchte eine 6x9 Matrix schalten die in Abhängigkeit eines 6bit
> breiten Signales den Adressbuss einer mit 10Mhz getakteten CPU
> umschaltet.

Was für eine CPU? Die 6x9 Matrix verstehe ich nicht. Hast du eine 
Skizze, die du posten kannst?

von Harald S. (harri)


Lesenswert?

Hi Ralph,

zum Dekodieren von Adressbussen und erzeugen von CS-Signalen für die 
Speicherbausteine hab ich früher immer 74139 genommen. Gab es in DIL :-)

Allerdings hab ich nie wissentlich eine Matrix genutzt/geschaltet.
Hast du mal einen Schaltplan?

Harri

von Ralph H. (guru)


Lesenswert?

Ne ne Schaltung hab ich nicht im Kopf. Grundsätzlich handelt es sich um
einen AdressMultiplexer der in Abhängigkeit vom Zustand eines PIO Portes 
(6bit) den Adressbuss (Bit 8..15) auf 6 externe Adressleitungen legt.

Im Grunde total simpel und funzt ja auch mit dem CPLD 1a. Nur das Ding 
mit der Adapterplatine ist zu groß für meine LP :D. Es werd wohl den 
XC9536 im PLCC nehmen.
Ich hoffte aber was kleineres zu finden.. mehr nicht.

Danke für Eure Bemühungen

von MCUA (Gast)


Lesenswert?

>Es werd wohl den XC9536 im PLCC nehmen.
Besser den XL davon.

Andere Möglichkeit wäre alles mit MUXes zu machen, oder GAL + MUXe.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.