Forum: Analoge Elektronik und Schaltungstechnik Ideen für Oszillator gesucht (VCO)


von Tobias P. (hubertus)


Lesenswert?

Hallo Analogtechnikfreunde

ich brauche einen VCO, welcher im Bereich 22..24 MHz einstellbar ist. 
Meine Erfahrungen mit LC-Kreisen und Varaktoren sind bisher die, dass 
ein grosser Jitter entsteht. Frage 1: wie kann ich einen solchen 
Oszillator einigermassen genau in der Frequenz regeln? und Frage 2 wie 
bekomme ich die Frequenz stabil hin?
Das Ausgangssignal sollte Sinusförmig sein. Eine DDS muss nicht 
unbedingt sein, da ich bis jetzt keinen Mikrocontroller vorgesehen habe. 
Einen Quarz kann ich wohl auch gleich vergessen, den kann man vielleicht 
über 100 kHz ziehen... habt ihr Ideen?

von Arduinoquäler (Gast)


Lesenswert?

Tobias P. schrieb:
> Frage 1: wie kann ich einen solchen
> Oszillator einigermassen genau in der Frequenz regeln?

Da ist die Gretchenfrage immer: wieviel ist "einigermassen" ?

> wie bekomme ich die Frequenz stabil hin?

Da ist die Gretchenfrage immer: wie stabil?

von Arduinoquäler (Gast)


Lesenswert?

Tobias P. schrieb:
> ich brauche einen VCO, welcher im Bereich 22..24 MHz einstellbar ist.

Da ist die Gretchenfrage immer: vieviel Einstellbereich genau,
und in welcher Schrittweite einstellbar.

von Arduinoquäler (Gast)


Lesenswert?

Tobias P. schrieb:
> Meine Erfahrungen mit LC-Kreisen und Varaktoren sind bisher die, dass
> ein grosser Jitter entsteht.

Da ist die Gretchenfrage immer: was ist ein grosser Jitter?
Was ein Jitter ist wissen die Leute, aber über den Betrag des
Jitters weichen die Einschätzungen stark voneinander ab.

von Peter R. (pnu)


Lesenswert?

Arduinoquäler schrieb:
> Tobias P. schrieb:
>> Meine Erfahrungen mit LC-Kreisen und Varaktoren sind bisher die, dass
>> ein grosser Jitter entsteht.

Zwei ganz einfache Regeln:

Möglichst hohe Schwingamplitude + Möglichst hohe Güte des 
Schwingkreises.

Dabei ist die Güte des L meist entscheidend, Kondensatoren NP0-Keramik, 
Glimmer, PS-Folie haben von sich aus meist deutlich höhere Güte als 
Spulen.

Kap- Dioden so ankoppeln, dass mit ihnen gerade der benötigte 
Frequenzhub erreicht wird.

Jitter gibts immer. Die Frage ist, ab welchem Betrag er störend ist.

von Arduinoquäler (Gast)


Lesenswert?

Peter R. schrieb:
> Arduinoquäler schrieb:

Nö, hab ich nicht geschrieben.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Für Kurzwellenfunk hat man früher LC-Oszillatoren benutzt, mit großer 
Spule auf stabilem Material und einen Drehkondensator.

von Arduinoquäler (Gast)


Lesenswert?

Christoph K. schrieb:
> mit großer
> Spule auf stabilem Material und einen Drehkondensator.

Danke! Genau diese Spezifikation hat der TO gesucht, jetzt
kann der Thread geschlossen werden.

von Pic T. (pic)


Lesenswert?

Ich werfe mal den LTC6904 im Raum.

von richi (Gast)


Lesenswert?

den hier kannst du als VCO benutzen, er hat dafür extra einen Eingang:

http://de.rs-online.com/web/p/taktgeneratoren/8231987/

rechteck, max. aufl. 1Hz, 8kHz bis 100MHz, kann auch über I2C 
angesteuert werden.


(alle angaben ohne gewähr aus dem kopf)

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

zu Gretchenquälers Frage
http://www.rfw-elektronik.de/electronic/keramik-hf-spule
http://www.rfw-elektronik.de/images/electronic/058-2.jpg

ich meinte solche Spulen auf Keramik "eingebrannt", die haben hohe Güten 
und geringe Mikrophonie.
Für einen VCO muss man einen Teil des Kondensators natürlich als Varicap 
ausführen.

: Bearbeitet durch User
von Arduinoquäler (Gast)


Lesenswert?

Christoph K. schrieb:

> zu Gretchenquälers Frage

Ich habe dazu keine Frage gestellt.

Doch du gibst Lösungsansätze für Anforderungen die nicht gestellt 
wurden.

von Arduinoquäler (Gast)


Lesenswert?

Pic T. schrieb:
> Ich werfe mal den LTC6904 im Raum.

Ich werfe ihn mal aus den Raum.

Datenblattwert:  1kHz to 68MHz Square Wave Output

widerspricht der Anforderung des TO.

von nugglix (Gast)


Lesenswert?

Moin!

Evtl. reicht ja etwas davon:
http://www.hanssummers.com/huffpuff.html

von richi (Gast)


Lesenswert?

Tobias P. schrieb:
> ich brauche einen VCO, welcher im Bereich 22..24 MHz einstellbar ist.
> Meine Erfahrungen mit LC-Kreisen und Varaktoren sind bisher die, dass
> ein grosser Jitter entsteht.

Ist das wirklich so? Hätte (grob gesagt) gedacht, dass der Jitter bei 
digitaler Synthese größer ist als bei einem analogen Oszillator.

von Arduinoquäler (Gast)


Lesenswert?

richi schrieb:
> Hätte (grob gesagt) gedacht,

Grob gesagt gibt es immer die bessere Lösung, von der einen oder
der anderen Art.

Aber solange man nicht sagt (oder nicht einmal weiss) was genau
man will kommt man hier nur mit Gelabere weiter.

von Sven D. (Gast)


Lesenswert?


von Konzertbesucher (Gast)


Lesenswert?

Sven D. schrieb:
> http://www.box73.de/product_info.php?products_id=735

...oder im gleichen shop einen FA-SY 1 z.B.
10-160 MHz, Auflösung 1 Hz, mit Thermostat, digital einstellbar

von Sven D. (Gast)


Lesenswert?

Konzertbesucher schrieb:
> ...oder im gleichen shop einen FA-SY 1 z.B.
> 10-160 MHz, Auflösung 1 Hz, mit Thermostat, digital einstellbar

Der TO will Sinus!

von Arduinoquäler (Gast)


Lesenswert?

Sven D. schrieb:
>
> Der TO will Sinus!

Ich sag's ja, ein einziges Gelabere hier .....

Schnell mal a bisserl Sch.... hier reinwerfen und wieder weg.

von Hugo (Gast)


Lesenswert?

Arduinoquäler schrieb:
> Sven D. schrieb:
>>
>> Der TO will Sinus!
>
> Ich sag's ja, ein einziges Gelabere hier .....
>
> Schnell mal a bisserl Sch.... hier reinwerfen und wieder weg.

Genauso wie ein gewisser Quälgeist.
Von dem kam auch noch nichts substantielles.
Nur Gelaber und Gemeckere...

Arduinoquäler schrieb:
> Pic T. schrieb:
>> Ich werfe mal den LTC6904 im Raum.
>
> Ich werfe ihn mal aus den Raum.
>
> Datenblattwert:  1kHz to 68MHz Square Wave Output
>
> widerspricht der Anforderung des TO.

Was ist jetzt der Unterschied zu dem von dir angemeckerten Beitrag von 
Sven?

von Hp M. (nachtmix)


Lesenswert?

Tobias P. schrieb:
> eine Erfahrungen mit LC-Kreisen und Varaktoren sind bisher die, dass
> ein grosser Jitter entsteht.

Dann hast du wahrscheinlich Störspannungen auf der Versorgung und/oder 
der Abstimmspannung.
Es lohnt sich das zu verbessern.

von Jakob (Gast)


Lesenswert?

Mit Varaktoren hat man schon vor mehr, als 50 Jahren stabile
Frequenzen im 100 MHZ-Bereich (UKW und TV) aber auch bei 20 MHz
für jitter-empfindliche KW-Empfänger erzeugen können.

Wenn deine Experimente so schlecht verliefen, lag das vielleicht
- entweder an deinem Schaltungs-Konzept mit großem
  Optimierungspotential,
- oder an deinen übersteigerten Erwartungen.

Nenne mal einfach deine Wunsch-Daten...

von Wilhelm S. (wilhelmdk4tj)


Lesenswert?

Hallo zusammen, hallo Tobias.

Du scheinst mir ja ein sehr sprunghafter Mensch zu sein. ;-)
Vor einiger Zeit warst du hier ja noch im GHz-Bereich unterwegs.
Nun geht es um einen Oszillator im MHz-Breich..??

Solche Oszillatoren haben schon viele vor dir entworfen
und vor allen Dingen - mit befriedigen Ergebnissen - gebaut.
Weil wir deine Anforderungen nicht kennen, musst du uns
schon mehr verraten.
Mach dich mal vertraut mit den diversen Oszillator Grundschaltungen.
Du wirst sehr schnell zu dem Ergebis kommen, dass z.B. der
Standard-Colpitts deinen Anforderungen nicht genügt.
22-24MHz sind ca. 10%, das ist schon eine ganze Menge.
Das Problem des Rauschens und der Temperaturdrift der Varicaps
wurde schon vor Jahrzehnten von pfiffigen Ingenieuren gelöst.

> Nenne mal einfach deine Wunsch-Daten...

73
Wilhelm

PS:
Hier hilft alte Literatur, z.B. alte 'UKW-Berichte', alte 'Funkschau',
alte 'Elektronik', alte 'QST', alte 'HAM Radio Magazin'...
Ich betone ALTE! 70er bis Ende 80er Jahre, da wurde noch mit
analoger Hardware geschmiedet.  Die Jungs waren auch nicht
dumm; sie haben aus (mit) den verfügbaren Mitteln das Beste 
herausgeholt.
Heutzutage -> Internet -> Suchen, suchen, suchen....
Und fündig wird man eigentlich immer, man muss es nur zu interpretieren
wissen.

von Tobias P. (hubertus)


Lesenswert?

Hallo zusammen
sorry für meine späte Antwort.

Ihr wollt gerne genaue Daten haben, was ich von dem Oszillator erwarte. 
Nun, ich kann die nicht genau nennen, aber was ich gemacht habe ist 
folgendes:

Ich habe eine Colpitts-Schaltung mit JFET realisiert und mit LC-Glied. 
Dann mit Varaktoren versucht die Frequenz zu ziehen. Die Amplitude 
betrug ca. 0.3V und der Jitter war so gross, dass ich es auf dem 
Oszilloskop nur so zappeln gesehen habe. Man konnte gar nichts 
vernünftiges messen. Nach entfernen der Varaktoren war dann alles gut 
und die Stabilität meinen Wünschen entsprechend. Als Varaktor habe ich 
MVAM109 benutzt, war gerade da. Unter "wenig Jitter" stelle ich mir also 
als erstes mal vor, dass ich auf dem Oszi mal ein ruhiges Bild hin 
kriegen will. Dann erst kann man überhaupt gescheite Messungen machen, 
wie z.b. Phasenrauschen mit dem Spek bestimmen.


@Wilhelm
Ich bin immer noch im GHz-Bereich unterwegs ;-) Der Oszi wird nachher 
auf ein paar GHz hoch gemischt! :D

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

Mach mal ein Schaltbild. Mir klingt das ein wenig danach, als würdest du 
die Kap. Diode(n) im leitenden Bereich betreiben, das mag kein VCO. Wenn 
die Speisung einigermassen sauber ist, gäbe es sonst m.E. keinen Grund, 
warum die Ausgangsfrequenz so jittert.
Ich finde den Clapp Oszillator am angenehmsten, da hat man den LC Kreis 
am Gate und die passenden Gleichspannungsverhältnisse für die 
Varaktoren. Hartley ist auch nett, aber braucht eine Spule mit 
Anzapfung.

: Bearbeitet durch User
von Lars K. (mrlaush)


Lesenswert?

Hi,

ich weiß jetzt nicht genau wie fortgeschritten die Diskusion hier ist, 
aber ich habe mal in meinem Studium mit diesem Chip gearbeitet... war 
eher so ein privat experiment :)

Vieleicht hilft der ja:

http://web.itu.edu.tr/pazarci/pll/mc1648rev2_VCO.pdf

von Tobias P. (hubertus)


Angehängte Dateien:

Lesenswert?

Hallo Kollegen

Clapp-Oszillator war das richtige Stichwort. Ich habe immer mit einem 
Colpitts rum probiert, und das hat nie zufriedenstellend Funktioniert. 
Das Problem dabei ist u.a. auch, dass die Spule nicht gegen masse geht, 
dadurch ist es nicht so einfach, den Varaktor anzukoppeln. Ich habe 
jetzt die Schaltung gemäss clapp.png aufgebaut.
Ein kleiner Testaufbau ist schnell gemacht und die Messung des Signals 
über der Spule bringt einen sauberen Sinus zutage (mesung1.jpg). Leider 
ist das Signal am Emitter des Transistors stark verzerrt (messung2.jpg).
Das macht aber nichts, ich werde einfach mit 1pF am LC-Kreis auskoppeln. 
Danach kommt sowieso dann noch ein kleines Filter und ein Puffer.
Mit einer Steuerspannung zwischen 0 und 6V kann ich jetzt zwischen 
29..36 MHz einstellen - etwas mehr, als ich benötige, aber das macht 
erst mal nichts. Die Amplitude bleibt auch konstant (schwankt um ca. 
0.2V zwischen minimaler und maximaler Frequenz) und das Bild auf dem 
Oszilloskop ist absolut ruhig. (auf dem Spek habe ich es noch nicht 
angeschaut).
Aber soweit scheint es mal zu funktionieren :-) Ich hoffe dass es noch 
gleich gut funktioniert, wenn ich dann eine Leiterplatte ätze.

von nugglix (Gast)


Angehängte Dateien:

Lesenswert?

Moin!

Bevor Du ätzend wirst... ;)

Versuch die o.a. Schaltung mal mit nem FET.
Die kann man leicht durch 2 Dioden am Gate gegen Masse begrenzen.
Dann schaut es am Source auch sauberer aus.
Zumindest sagt mir das LTSpice.

Grüße

von Lurchi (Gast)


Lesenswert?

Die HF mäßige Entkopplung der Versorgungsspannung sollte teil der 
Schaltung sein, als noch ein RC / LC Glied in der Versorgung.

Auf den ersten Blick haben die Varicaps immer noch einen recht großen 
Einfluss, und der Resonanzkreis ist recht hochohmig. Wenn Jitter 
wirklich kritisch ist, sollte also der Kondensator größer und die 
Induktivität kleiner werden.

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

Tobias P. schrieb:
> Ich hoffe dass es noch
> gleich gut funktioniert, wenn ich dann eine Leiterplatte ätze.

Ich habe nichts gegen den guten alten BC547, würde dir aber trotzdem 
empfehlen, es nochmal mit z.B. einen BF199 o.ä. zu testen. Je nach 
Pinbelegung ist auch ein Layout möglich, welches den Emitter besser von 
der Basis trennt. Ein modernerer Transistor wie ein BFW92 ist 
wahrscheinlich nicht nötig, aber schaden tut er auch nicht.

nugglix schrieb:
> vco.png

Diese Variante habe ich auch schon ohne jeden Koppelkondensator im 
Gatekreis gesehen. Da die Spule hier das Gate sowieso auf Masse zieht, 
wird dann die Gatevorspannung vom Sourcewiderstand erzeugt.

: Bearbeitet durch User
von nugglix (Gast)


Lesenswert?

Danke für den Hinweis!
Werde das gleich mal im Simulator ausprobieren.
Ich wollte das morgen aufbauen... :)

von Tobias P. (hubertus)


Lesenswert?

@nugglix
habe deine Schaltung mit einem J310 aufgebaut. Sie hat komischerweise 
nicht oszilliert, obwohl LTSpice sagt, dass sie es sollte :-( ich muss 
der Sache später noch auf den Grund gehen, ich muss kurz weg.

Übrigens: gibt es eine Möglichkeit, die Frequenz irgendwie zu regeln? 
damit ich eine lineare Kennlinie bekomme.

von nugglix (Gast)


Lesenswert?

Moin!

Das Cx in meiner Schaltung wird durch 2 anti-parallele Varicaps
ersetzt, daher kommt die Regelung.

Das Stepping (rechts oben im Bild) ist gemäß der ersten Messungen
mit den Varicaps entstanden.

Ich versuche das Ding nachher mal Manhattan-Style aufzubauen.
Spule ist gewickelt und (sehr) grob im gewünschten Bereich.
Mal sehen ob was passiert...   :)

@Matthias
Also ohne den Serienkondensator geht es auch, aber ich verwende
den nun um die fixe Kapazität auf der rechten Seite zu reduzieren
bzw. anzupassen.

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

Tobias P. schrieb:
> Übrigens: gibt es eine Möglichkeit, die Frequenz irgendwie zu regeln?
> damit ich eine lineare Kennlinie bekomme.

Das ist missverständlich - wer soll linear sein? Wenn es auf genaue 
Frequenz ankommt, dann stabilisiere ich so einen VCO heutzutage mit PLL. 
Wenn du da nur ein Poti an die Kapazitätsdioden machst, bleibt dir 
nichts anderes, als das Drehwinkel/Frequenz Verhältnis mit Widerständen 
anzupassen oder sogar ein log. Poti zu verwenden.

In deinem BC547 Oszillator übrigens schadet es nicht, R2 mal auf 
47k-100k zu erhöhen, verringert die Dämpfung.

: Bearbeitet durch User
von W.S. (Gast)


Lesenswert?

Tobias P. schrieb:
> Ich habe
> jetzt die Schaltung gemäss clapp.png aufgebaut.

Tja - das ist ein klassischer Colpitts und kein Clapp.

Beim Clapp sind Spule und Abstimmkondensator in Reihe und der kapazitive 
Spannungsteiler vom Gate zu Source (bzw. Basis zu Emitter) liegt NICHT 
parallel zum Abstimmkondensator.

Siehe da: 
"https://upload.wikimedia.org/wikipedia/commons/7/78/ElectronicOscillator_Clapp-JFET-D.svg";

W.S.

von Guido G. (nugglix)


Lesenswert?

Tobias P. schrieb:
> @nugglix
> habe deine Schaltung mit einem J310 aufgebaut. Sie hat komischerweise
> nicht oszilliert, obwohl LTSpice sagt, dass sie es sollte :-( ich muss
> der Sache später noch auf den Grund gehen, ich muss kurz weg.

 --seufz-- 
Hier schwingt auch nix.

Also zurück auf Start und nochmal.

@Tobias
Ich hoffe Du hast nicht zu viel Zeit verloren.

Hier gibt es eine Menge Oszillatoren:
http://www.qsl.net/va3iul/Homebrew_RF_Circuit_Design_Ideas/Homebrew_RF_Circuit_Design_Ideas.htm#Oscillators

Einige sehen im Prinzip aus wie die obige Schaltung.
Also gucken und klauen...  :)

von Tobias P. (hubertus)


Lesenswert?

Hallo allerseits
o.k. ich werde vermutlich nun bei dem Colpitts-Oszillator bleiben, den 
ich weiter oben fälschlicherweise als Clapp bezeichnet habe, denn von 
allen Varianten die ich bisher getestet habe funktioniert dieser 
wirklich am besten.

Ich habe mir nun überlegt, dass es vermutlich doch am besten ist, den 
VCO an eine Referenz anzubinden. Der Bereich meiner Tuning-Spannung ist 
ca. 0..6V. Ich frage mich, ob man folgendes machen könnte (bin grade 
nicht im Labor, sonst hätte ich es schon ausprobiert):

Sinussignal mit Schmitt-Trigger im Rechteck wandeln und durch einen 
Frequenzteiler :2048 laufen lassen. Die geteilte Frequenz auf den 
Phasendiskriminator eines 4046 geben und dessen Ausgangssignal mit Opamp 
auf den richtigen Spannungsbereich bringen. Und zu guter letzt kann ich 
mit einem bequemen Takt von ein paar kHz die Referenz vorgeben :) also 
eine PLL mit 4046, aber mit externem VCO. Ob sowas wohl geht? klar 
könnte man einen ADF... IC einsetzen, aber ich will so wenig 
Spezialbauteile wie möglich. 4046 ist dreckbillig und ziemlich Standard 
;)
oder wäre eine solche Schaltung ganz grosser Murks?

Also der VCO soll sinusförmig bleiben, aber einen kleinen Teil der 
Sinusspannung würde ich abzweigen und Rechteckförmig machen für den PLL.

von Helmut L. (helmi1)


Lesenswert?

Tobias P. schrieb:
> Übrigens: gibt es eine Möglichkeit, die Frequenz irgendwie zu regeln?
> damit ich eine lineare Kennlinie bekomme.

So was macht man mit einem linearisierung Netzwerk. So wird meistens der 
VCO in einem Spectrumanalyzer eine lineare Kennlinie verpasst. Besorgt 
dir mal einen Schaltplan von einem SA. Das ist ein Netzwerk aus 
Widerstaenden und Dioden.

Tobias P. schrieb:
> Und zu guter letzt kann ich
> mit einem bequemen Takt von ein paar kHz die Referenz vorgeben :) also
> eine PLL mit 4046, aber mit externem VCO. Ob sowas wohl geht? klar
> könnte man einen ADF... IC einsetzen, aber ich will so wenig
> Spezialbauteile wie möglich. 4046 ist dreckbillig und ziemlich Standard
> ;)

Moeglich ist das, bedenke das du das Phasenrauschen der Referenz dann um 
den Faktor 2048 multiplizierst.

> oder wäre eine solche Schaltung ganz grosser Murks?

Nicht ganz, allerdings gibt es bessere PLL Bausteine mitlerweile die 
besser sind im Phasenkomparator.

von Tobias P. (hubertus)


Lesenswert?

Hi Helmut,

was wäre denn ein solcher Baustein, der in etwa ebenso billig ist wie 
der 4046 und auch sehr gut erhältlich ist? Meiner Meinung nach muss der 
Bastein wirklich nicht direkt die 32 MHz erzeugen können. Wie gesagt 
möchte ich es möglichst diskret mit billigen Elementen versuchen zu 
lösen.

von Arduinoquäler (Gast)


Lesenswert?

Helmut L. schrieb:
> Moeglich ist das, bedenke das du das Phasenrauschen der Referenz dann um
> den Faktor 2048 multiplizierst.

[Sarkasmus]
Das Phasenrauschen interessiert ihn ja offensichtlich nicht, nur
der Jitter ;-)

Er will ja auch nur eine "einigermassen genaue Frequenz".

Und seine Phasenrausch-Probleme verschwinden gänzlich wenn er
(wie auch immer das geschehen mag) auf ein paar GHz hoch mischt:
Man sieht und hört vom Signal gar nichts mehr.
[/Sarkasmus]

Tobias P. schrieb:
> wie kann ich einen solchen
> Oszillator einigermassen genau in der Frequenz regeln?

Tobias P. schrieb:
> Der Oszi wird nachher
> auf ein paar GHz hoch gemischt! :D

Wenn das Ganze allerdings ein Ansatz zu einem Planlos-Jammer
sein sollte dann ist die Vorgehensweise richtig.

von Tobias P. (hubertus)


Lesenswert?

> Wenn das Ganze allerdings ein Ansatz zu einem Planlos-Jammer
> sein sollte dann ist die Vorgehensweise richtig.

genau. Und mit Arduino bist du hier auch vollkommen richtig, denke ich 
mir :-)
Es wird übrigens kein Jammer, aber das macht ja nichts. Als Referenz 
steht mir übrigens ein quarzgenauer Takt zur Verfügung. Wenn dessen 
Phasenrauschen mit 2048 multipliziert wird, dann kann ich damit leben. 
Im hp 8753C Netzwerkanalysator wird es nicht viel anders gemacht; dort 
wird ein VCXO als Referenz benutzt. Und am Schluss ist man bei 6 GHz... 
ich denke also, dass es funktionieren sollte. Ich erwarte, dass es 
zumindest genauer sein sollte, als der DRO, den ich mal gebaut habe. Der 
war zwar sehr stabil, aber selbst wenn er in einem Gehäuse ist lässt 
sich die Frequenz durch kleine mechanische Einflüsse ziehen. Das sollte 
bei einem quarzgenauen Referenztakt und PLL nicht passieren - warum 
auch?

von Arduinoquäler (Gast)


Lesenswert?

Tobias P. schrieb:
> Wenn dessen
> Phasenrauschen mit 2048 multipliziert wird, dann kann ich damit leben.

Das wird nicht das Problem sein, sondern dass du mit deiner
Bastelkiste-PLL das nicht erreichen wirst. Und schon gar nicht
mit einer Phasendetektor-Frequenz von ein paar KHz.

Tobias P. schrieb:
> Und am Schluss ist man bei 6 GHz...

Womit? Mit Um-Mischen? Hihi ....

von Helmut L. (helmi1)


Lesenswert?

Tobias P. schrieb:
> was wäre denn ein solcher Baustein, der in etwa ebenso billig ist wie
> der 4046 und auch sehr gut erhältlich ist?

Billig ist relativ. Schau mal bei Analog Devices vorbei, die haben gute 
PLLs da die sogar bis in den GHz Bereich gehen. Fuer ein Einzelprojekt 
kann man sich bei denen auch ein Sample besorgen.

Tobias P. schrieb:
> Im hp 8753C Netzwerkanalysator wird es nicht viel anders gemacht; dort
> wird ein VCXO als Referenz benutzt.

Na, HP hat da aber keinen 4046 benutzt. So wie ich HP Geraete kenne 
bauen die ihren Phasendetektor immer diskret aus ECL Flipflops auf und 
deren Referenz ist meistens 100Khz (bei Fractional N Plls).

von W.S. (Gast)


Lesenswert?

Tobias P. schrieb:
> Sinussignal mit Schmitt-Trigger im Rechteck wandeln und durch einen
> Frequenzteiler :2048 laufen lassen. Die geteilte Frequenz auf den
> Phasendiskriminator eines 4046 geben und dessen Ausgangssignal mit Opamp
> auf den richtigen Spannungsbereich bringen. Und zu guter letzt kann ich
> mit einem bequemen Takt von ein paar kHz die Referenz vorgeben :) also
> eine PLL mit 4046, aber mit externem VCO. Ob sowas wohl geht? klar
> könnte man einen ADF... IC einsetzen, aber ich will so wenig
> Spezialbauteile wie möglich. 4046 ist dreckbillig und ziemlich Standard
> ;)
> oder wäre eine solche Schaltung ganz grosser Murks?

Bahnhof..Bahnhof, wohin das Auge blicket. Was sollen mir deine Worte 
sagen?

Also:
1. du willst ein Signal im GHz-Bereich, richtig?
2. du versuchst, mit irgend welchen altbackenen 
Frequenzvervielfacher-Rezepten dein Ziel zu erreichen
3. du hast Schwierigkeiten, einen klassischsn Colpitts richtig zu 
desigen.
4. du findest den 4046 gut
5. du hast ne Abneigung gegen moderne IC's, die dein Problem mit 
geringem Aufwand bestens lösen.

Glaub mir, gegen die Signalqualität einer Lösung mit einem ADFxxxx oder 
äquivalenten von TI kommst du mit selbstgebrauten Vervielfacher-Lösungen 
nie und nimmer an.

Mein dringender Rat: schmeiß alle bisherigen Entwürfe weg und kauf dir 
einen ADF.. oder so, dazu einen TCXO und einen kleinen µC, der den ADF 
programmiert und fertig ist die Laube.

Alles Andere endet schlußendlich ja doch im Müll.

W.S.

von Tobias P. (hubertus)


Lesenswert?

Hi,
also ich habe es jetzt aufgebaut so wie ich mir das vorstelle. Es 
funktioniert und genügt meinen Anforderungen voll und ganz :-)

@W.S.: so altbacken sind die Vervielfacher nicht. Funkamateure benutzen 
das um viele GHz zu erzeugen. Mein Colpitts läuft jetzt auch. Ist doch 
viel interessanter so, als einfach 2 Chips zusammenzulöten, oder? oder 
warum bauen andere hier im Forum Frequenzzähler mit AVRs? das kann man 
doch kaufen. Diese ewige Diskussion, dass es das schon als fertigen Chip 
gibt langweilt mich. Ja ich weiss dass es den gibt. Aber als Bastler 
bekomme ich den nicht. ADI ist der einzige Hersteller, so, als Firma 
weiss ich nicht ob es den Chip in 5 Jahren noch gibt usw. In meinen 
Augen hat also die 2-Chip-Lösung nicht nur Vorteile. Nur weil ich den 
4046 nicht schlecht finde, heisst das übrigens nicht, dass ich keine 
Ahnung habe.

Hast du schon mal einen DRO gebaut? zeig mal her. Gegen einen solchen 
kannst du deinen ADF auch knicken. Leider lässt sich der nicht ganz so 
einfach regeln. Usw.... greif mich nicht gleich persönlich an, nur weil 
du findest ich verstehe nur Bahnhof soweit das Auge blicket ;-)

edit:
Übrigens, in den UKW-Berichten wurde erst kürzlich eine Schaltung mit 
Vervielfachern vorgestellt. Modern wie du bist hast du aber vmtl. derart 
altbackene Literatur nicht griffbereit.

: Bearbeitet durch User
von Arduinoquäler (Gast)


Lesenswert?

Tobias P. schrieb:
> Ideen für Oszillator gesucht

.... aber bitte sagt mir nicht wie es geht, das weiss ich selber.

von Helmut L. (helmi1)


Lesenswert?

Nur ist der Phasenkomparator im 4046 nicht gerade der beste. Das Design 
davon stammt aus den 70er.

von Arduinoquäler (Gast)


Lesenswert?

Tobias P. schrieb:
> so altbacken sind die Vervielfacher nicht. Funkamateure benutzen
> das um viele GHz zu erzeugen.

Tobias P. schrieb:
> kürzlich eine Schaltung mit Vervielfachern vorgestellt.

Tobias P. schrieb:
> Der Oszi wird nachher auf ein paar GHz hoch gemischt!

Ach so. Alles klar!

von W.S. (Gast)


Lesenswert?

Tobias P. schrieb:
> Usw.... greif mich nicht gleich persönlich an, nur weil
> du findest ich verstehe nur Bahnhof soweit das Auge blicket ;-)

Du solltest besser lesen lernen. ICH kann aus deinen Zeilen nichts mir 
Verständliches entnehmen - außer, daß du mit Worten wie "dreckbillig" um 
dich wirfst und eigentlich alle von mir aufgezählten Punkte zutreffen.

Weißt du, ich hatte hier vor geraumer Zeit schon mal ein Erlebnis 
gepostet von einem Treffen in KW auf dem Funkerberg, wo jemand sich in 
einen Monolog über die Verabscheuungswürdigkeit von SMD 
hineinsteigerte.. unsereiner konnte da noch einiges an mir bisher 
unbekannten Schimpfwörtern lernen.

Wenn du nun schreibst, daß deine Lösung mit nem 4046 und diskreten 
Teilen dich voll und ganz befriedigt, dann gönne ich das dir. Aber es 
ist - ganz objektiv betrachtet - Technik von vor-vor-gestern und ich bin 
mir absolut sicher, daß es deutlich schlechter ist als eine moderne 
Lösung. Denk bloß mal dran, daß eine moderne und für UHF geeignete PLL 
mit Phasenvergleichsfrequenzen im 2..3 stelligen MHz-bereich arbeiten 
kann - also weit über dem Bereich, wo so ein 4046 noch benutzbar ist. 
Entsprechend lausig fällt das Phasenrauschen bei deiner Lösung aus - im 
Vergleich zur Moderne. Willst du das Ganze tatsächlich für 
Kommunikationszwecke oder Meßzwecke benutzen? Oder nur über's GSM-Band 
wobbeln...?

W.S.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.