Forum: Analoge Elektronik und Schaltungstechnik ADC Frontend für Unipolar Single-Ended 0-12V Signal


von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

Hallo, ich will weiterhin ein unipolares Single-Ended Signal messen das 
im Bereich von 0 bis 12V liegt. Das Signal kann als Gleichspannung 
betrachtet werden. Es kommt aus einem Transimpedanzwandler (externes 
Gerät) über ein Kabel (BNC/SMA) und geht dann zu der von mir zu bauenden 
Messplatine. Ich möchte vor allem Rauschen das auf dem Weg vom 
Transimpedanzwandler zu meiner Platine reinkommt vermeiden und auch 
keine Ströme über die Schirmung des Kabels haben. Lokal wird die 
Messplatine auch mit Masse verbunden die über das Netzteil auch mit der 
Masse des Transimpedanzwandlers verbunden ist. Ich möchte daher auch 
keine Masseschleife basteln.

Im Anhang jetzt ein Bild mit möglichen ADC Frontends, im Grunde läuft 
das immer so: Das Signal wird "gesäubert" von Rauschen das auf Schirmung 
und Signal drauf ist und dann von Single-Ended nach differentiell 
gewandelt.

Vorschlag 1 ist galvanisch getrennt, da muss nichts gesäubert werden 
weil die isolierte Masse ja mitschwingen kann. Es wird nur die Spannung 
von 0 ... 12V nach 0 ... 2V herunter geteilt und das dann nach 
differentiell gewandelt.

Vorschlag 2 (und alle weiteren) sind nicht galvanisch getrennt von der 
Masse des Messsystems (FPGA/USB/...). Da wird zuerst die Spannung 
herunter geteilt, dann die Differenz gebildet um Rauschen zu entfernen 
und dann wieder nach differentiell gewandelt.

Vorschlag 3 ist vom Prinzip her wie Vorschlag 2, aber die Spannung wird 
jetzt beim Differenz bildenden OPV heruntergeteilt. Ausserdem werden 
weniger Widerstände verwendet um das Signal differentiell zu machen.

Vorschlag 4 ist wie Vorschlag 2, verwendet aber ein OPV-Päärchen hinter 
dem Spannungsteiler zur Impedanzwandlung.

Vorschlag 5 hat direkt am Eingang ein OPV-Päärchen als Impedanzwandler. 
Dafür brauche ich zwar höhere Versorgungsspannungen, habe aber 
hochohmige Eingänge. Dahinter dann wieder Differenzbildung mit 
gleichzeitiger Spannungsteilung und danach wandeln nach Differentiell.

So, die Frage ist jetzt: Was ist an welcher Stelle besser und warum? In 
der Simulation (Multisim) sieht alles gleich gut aus. Gibt es weitere 
Vorschläge, was würde ein Profi bauen?
Vielen Dank!

: Bearbeitet durch User
von Christian M. (Gast)


Lesenswert?

Was hast Du gegen Rauschen, so bekommst Du doch eine höhere Auflösung 
bei mehrfacher Wandlung! Oder einfach Mitteln, hast ja fast DC...

Gruss Chregu

von Marcus H. (Firma: www.harerod.de) (lungfish) Benutzerseite


Lesenswert?

Gustl B. schrieb:
> ... Gibt es weitere Vorschläge, was würde ein Profi bauen?

Ein Profi würde zunächst die Kundenanforderungen herausfinden.
Was WILL der Kunde?
Was BRAUCHT der Kunde?


AD7356 Datenblatt p.10 "Terminology":
- Lesen, verstehen, quantisieren.
- vor dem nächsten Post mindestens SNR / BW festlegen


Weiterhin:
- Umweltbedingungen / einschlägige Normen festlegen


Diese Baugruppen hat offen auf dem Tisch liegend 20 Bit SNR bei DC:
http://www.harerod.de/applications_ger.html#LoadcellADC
Das entsteht nicht durch Zufall.

Die meisten Bauteile vor dem ADC, sind für die Messung selbst unsichtbar 
und kommen nur im Störungsfall zum Tragen.

Wie wäre es, wenn Du Dich beim ersten Design mal mit jemandem 
zusammensetztest?

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

Marcus H. schrieb:
> Ein Profi würde zunächst die Kundenanforderungen herausfinden.
> Was WILL der Kunde?
> Was BRAUCHT der Kunde?

Der "Kunde" ist die Uni die genau ein Examplar haben will. Was der Kunde 
braucht ist nicht so klar definiert. Ich soll Spannungen möglichst genau 
erfassen und möchte dabei eben keine zusätzlichen Störungen einbringen.

Marcus H. schrieb:
> AD7356 Datenblatt p.10 "Terminology":
> - Lesen, verstehen, quantisieren.
> - vor dem nächsten Post mindestens SNR / BW festlegen

Was auch immer. Mir geht es hier nicht um den ADC, der ist gut genug für 
das was wir machen. Mir geht es drum wie man das Eingangssignal das auf 
BNS/SMA ankommt an den ADC anschließt.

Marcus H. schrieb:
> Diese Baugruppen hat offen auf dem Tisch liegend 20 Bit SNR bei DC:
> http://www.harerod.de/applications_ger.html#LoadcellADC
> Das entsteht nicht durch Zufall.

Und ich dachte immer SNR wird in dB angegeben vielleicht meinst Du ENOB 
... klar entsteht das nicht durch Zufall ich entwerfe Schaltungen ja 
auch nicht mit dem Zufallsgenerator.
20 Bit brauchen wir nie. Die Signalquelle sebst rauscht schon stark so 
dass die 12Bit vom AD7356 voll ausreichen, auch 10 Bits die nicht 
verrauscht sind würden reichen. Also wenn der Eingangsbereich von 0 bis 
12V geht will ich noch mindestens 12 mV Stufen unterscheiden können. 
Analogbandbreite sollte bei ca. 1 MHz sein.
Mir geht es um die Analogschaltung vor dem ADC und auch da nicht um die 
Typen von OPVs sondern um das Prinzip der Verschaltung.

Marcus H. schrieb:
> Die meisten Bauteile vor dem ADC, sind für die Messung selbst unsichtbar
> und kommen nur im Störungsfall zum Tragen.

Das hoffe ich aber sehr, dass diese transparent sind und nichts 
verfälschen. Trotzdem geht es mir um genau die Verschaltung dieser 
transparenten Bauteile. Man, ich hätte den ADC einfach nicht einzeichnen 
sollen, jetzt schreibt hier Jeder seine Meinung zum ADC ...

Marcus H. schrieb:
> Wie wäre es, wenn Du Dich beim ersten Design mal mit jemandem
> zusammensetztest?

Was glaubst Du wieso ich hier bin? Weil ich mir eben nicht sicher bin 
und gerne Meinungen zu der Schaltung vor dem ADC lesen würde.

von Marcus H. (Firma: www.harerod.de) (lungfish) Benutzerseite


Lesenswert?

Gustl B. schrieb:
> Marcus H. schrieb:
>> Ein Profi würde zunächst die Kundenanforderungen herausfinden.
>> Was WILL der Kunde?
>> Was BRAUCHT der Kunde?
>
> Der "Kunde" ist die Uni die genau ein Examplar haben will. Was der Kunde
> braucht ist nicht so klar definiert. Ich soll Spannungen möglichst genau
> erfassen und möchte dabei eben keine zusätzlichen Störungen einbringen.
...

Ab hier hättest Du schon aufhören können zu schreiben.

Aber um das Thema vielleicht doch in die richtige Richtung zu schieben:

BW / SNR kann man durchaus auch für komplette Baugruppen angeben. Die 
Seite mit der Terminologie habe ich Dir nur hingelegt, weil ich den 
Eindruck hatte, dass Dir diese helfen könnte.

Meine Meinung zu Deinen Schaltungsvorschlägen:
Du gehst mit einem ADA4897-2, der 230MHz GBWP hat, laut Eingangspost 
ohne jeden Tiefpass auf ein DC-Signal los?
Aber seit Deinem letzten Post soll das DC-Signal mit 1MHz Bandbreite 
vermessen werden?

Man nennt das was Du machst "auf bewegliche Ziele schießen".

von Gustl B. (-gb-)


Lesenswert?

Marcus H. schrieb:
> BW / SNR kann man durchaus auch für komplette Baugruppen angeben. Die
> Seite mit der Terminologie habe ich Dir nur hingelegt, weil ich den
> Eindruck hatte, dass Dir diese helfen könnte.

Darum geht es mir in diesem Thread aber gar nicht. Es geht mir nur um 
die Schaltungen und welche da zu bevorzugen ist oder welche nicht und 
warum.

Marcus H. schrieb:
> Meine Meinung zu Deinen Schaltungsvorschlägen:
> Du gehst mit einem ADA4897-2, der 230MHz GBWP hat, laut Eingangspost
> ohne jeden Tiefpass auf ein DC-Signal los?
> Aber seit Deinem letzten Post soll das DC-Signal mit 1MHz Bandbreite
> vermessen werden?
>
> Man nennt das was Du machst "auf bewegliche Ziele schießen".

Sehr gut, eine Antwort zum Thema, vielen Dank!

Ich stimme Dir zu, dass die Bandbreite deutlich über der liegt die ich 
brauche, aber ich verstehe das Problem nicht. Wenn da im Signal Rauschen 
mit hohen Frequenzen drinnen ist dann kommt das eben auch am ADC an, 
wieso sollte man das vorher glattbügeln? Wenn man es denn glatt bügeln 
wollte, wo macht man das, baut man den Tiefpass direkt an den Eingang 
vor dem ersten OPV oder hinter einer OPV wo das Signal dann vom OPV 
stark getrieben wird?

von Florian (Gast)


Lesenswert?

Bei 4 und 5 auf den ersten Blick DC Input Bias Strom Pfad
für jeweils PIn. Diese Sind über die Quelle und deren Impedanz
oder einem Widerstand in 4 untereinander verbunden aber kein
klaren Bezug zum Gnd der Messschaltung.
Dann kommen wir zur Input Signal Range oder Common Mode Range,
auch hier kein klarer Bezug für mich erkennbar auf einen gültigen
Bereich innerhalb der Messschaltungs Versorgung.
Deine Signal Quelle (Schirm ist Bezug?, leiter Signal?),
ist diese zb. Quellenseitig am Bezug geerdet?
wie sieht das bei der Versorgung deiner Messschaltung aus zb.
auf Gnd auch? ggf. auch über Kommunikationspfade oder oder...
Bei längeren Leitungswegen und Potentialdifferenzen wird es
ggf. Probleme geben (bzw. wird dadurch erstmal ein Bezug siehe oben
hergestellt, was aber auch schnell in die hose gehen kann).

zwei und drei haben zumindest einen klaren input Bias Strom Pfad,
Ob die Input Range immer passt hängt dann auch wie oben
schon erwähnt von den versorgungs und einsatz situationen ab.
so eine "erdschleife" kann dann schnell alles ruinieren.

Ergo beim schnellen drüberblicken würde ich ohne weitere kenntnisse
der ganzen Anforderrungen erstmal für 1 Voten..

Übrigens wieso sollte man "rauschen" mit einer single ended zur Diff
konvertierung eleminieren? aus dem bauch raus würde ich
sagen du fügst so erstmal mehr rauschen hinzu, wobei
dies wahrscheinlich in der quadratischen addition mit dem Quellseitigen
wohl unter gehen wird, wenn du schon sagts das rauscht stärker.
Filter zur bandbreiten begrenzung sollten schon noch rein.

Bei höherer Bandbreite als Messsignal und um reflexionen zu vermeiden
wäre eine abgeschlossene/Terminierte eingangsschaltung auf die 
Messleitung
abgestimmt und quelle abgestimmt angebracht.

von Gustl B. (-gb-)


Lesenswert?

Florian schrieb:
> Bei 4 und 5 auf den ersten Blick DC Input Bias Strom Pfad
> für jeweils PIn. Diese Sind über die Quelle und deren Impedanz
> oder einem Widerstand in 4 untereinander verbunden aber kein
> klaren Bezug zum Gnd der Messschaltung.

Was bedeutet das? also in 4 wird die Spannung (Signal) heruntergeteilt, 
Bezug ist die Schirmung, und dann impedanzgewandelt.
Bei 5 wird es gleich impedanzgewandelt.

Florian schrieb:
> Dann kommen wir zur Input Signal Range oder Common Mode Range,
> auch hier kein klarer Bezug für mich erkennbar auf einen gültigen
> Bereich innerhalb der Messschaltungs Versorgung.
> Deine Signal Quelle (Schirm ist Bezug?, leiter Signal?),
> ist diese zb. Quellenseitig am Bezug geerdet?

Die Versorgungsspannungen der OPVs sind bezogen auf die Masse des 
Messystems und die 1.024V als Mittenspannung für den differentiellen 
Ausgang ist auf Masse im Messsystem bezogen. Ja, die Schirmung ist an 
der Quelle geerdet. Die Masse vom Messsystem wird ebenfalls geerdet sein 
zumindest für den (nicht gezeichneten) FPGA Teil mit USB. Daher wollte 
ich eben keine Masseschleife bauen und die Schirmung der Quelle nicht 
mit der Messsystemmasse verbinden.

Florian schrieb:
> wie sieht das bei der Versorgung deiner Messschaltung aus zb.
> auf Gnd auch? ggf. auch über Kommunikationspfade oder oder...
> Bei längeren Leitungswegen und Potentialdifferenzen wird es
> ggf. Probleme geben (bzw. wird dadurch erstmal ein Bezug siehe oben
> hergestellt, was aber auch schnell in die hose gehen kann).

Das ist alles schön geerdet (Schirmung an der Quelle und Messsystemmasse 
am Messsystem selbst), also da gibt es keine großen 
Spannungsdifferenzen, aber trotzdem will ich eben keine Masseschleife.

Florian schrieb:
> zwei und drei haben zumindest einen klaren input Bias Strom Pfad,
> Ob die Input Range immer passt hängt dann auch wie oben
> schon erwähnt von den versorgungs und einsatz situationen ab.
> so eine "erdschleife" kann dann schnell alles ruinieren.

Dafür haben 2 und 3 mehr Widerstände am Eingang. Ich kann das als Laie 
nicht abschätzen was da mehr Rauschen reinbringt.

Florian schrieb:
> Übrigens wieso sollte man "rauschen" mit einer single ended zur Diff
> konvertierung eleminieren?

Gar nicht. Das gemeinsame Rauschen auf Schirmung und Signal bekomme ich 
hoffentlich durch die Differenzbildung raus. Differentiell mache ich das 
Signal danach weil der ADC differentielle Eingänge hat. Würde ich den 
single-ended betreiben würde ich ein Bit verlieren/nur die Hälfte des 
Eingangsbereiches verwenden.

Florian schrieb:
> aus dem bauch raus würde ich
> sagen du fügst so erstmal mehr rauschen hinzu, wobei
> dies wahrscheinlich in der quadratischen addition mit dem Quellseitigen
> wohl unter gehen wird, wenn du schon sagts das rauscht stärker.
> Filter zur bandbreiten begrenzung sollten schon noch rein.

Das rauscht leider sehr stark, aber das ist die Quelle an sich, da kann 
ich nichts machen. Daher werden am Ende auch mehrere ADC Samples 
gemittelt. Gut, ein Filter brauche ich anscheinend. Werde ich mir 
merken. Baut man das dann direkt an den Eingang vor dem ersten OPV oder 
erst "weiter hinten" wo das Signal dann von einem OPV getrieben wird?

Florian schrieb:
> Bei höherer Bandbreite als Messsignal und um reflexionen zu vermeiden
> wäre eine abgeschlossene/Terminierte eingangsschaltung auf die
> Messleitung
> abgestimmt und quelle abgestimmt angebracht.

Das bedeutet doch bei einer 50 Ohm Quelle einen Widerstand von 50 Ohm 
zwischen Signal und Schirmung. Das könnte ich doch bei 3 und 5 einfach 
als ein Widerstand direkt an den Eingang reinbauen.

Danke!

von Florian (Gast)


Lesenswert?

Gustl B. schrieb:
> Was bedeutet das? also in 4 wird die Spannung (Signal) heruntergeteilt,
> Bezug ist die Schirmung, und dann impedanzgewandelt.
> Bei 5 wird es gleich impedanzgewandelt.

Die Eingangsstufen eines OPV haben einen ruhestrom, für diesen
sollte es einen pfad zum bezug(Gnd) der Messchaltung geben.
Das muss nicht zwangsweise direkt an Ort und Stelle des OPV passieren,
dies kann im gesamtkonzept betrachtet auch an einem anderen Punkt
passieren, sollte nur irgendwo.

desweiteren müssen die Eingangspegel an deinem Messopv in einem
gültigen Bereich liegen, dieser ist auch abhängig der
Versorgungsspannung des OPV, aber i.R. innerhalb der Rail versorgungen,
bei manchen typen auch etwas darüber.

Dein Sensor (transimpedanz Verstärker) und deine Messchaltung
Autark betrachtet haben erstmal keinen Bezug zueinander
(Erdung mal kurz außen vor).
Bei 4 und 5 wird dein eingangssignal nur auf die hochohmigen
P Eingänge des OPV bezogen. Ein Eingangsruhestrom von P-in der OPVs
kann niergends zum Mess Bezug(Gnd)fließen. Auch eine sichere einhaltung
der Eingangspegel bezogen auf den Messgnd ist imo nicht gegeben.

Bei 2+3 geschieht dies durch den 200Ohm Widerstand vom Spannungsteiler
nach Masse.

Nun kommen wir dazu das du mit 4 + 5 tatsächlich eine DC Bias/InputRange
kopplung haben wirst, warum?, auf beide deiner System seiten ist Gnd
geerdet, was imo kein so super eleganter Weg ist also den bezug darüber.
Das geht bei 4+5 so lange gut so lange keine nennenswerten
Potentialdifferenzen vorhanden sind. Aufm Labortisch, beides in einer
Steckdosenleiste dicht zusammen, wenig leitungsweg keine störer und
es wird irgendwie schon funktionieren. Dann nimmst mal zwei kaskadierte
Leisten, bissel Leitungsweg dazwischen, schliest dein Sensor und 
Messystem
mit "entfernung" an (erste und zweite Leiste) dazwischen mal andere
Verbraucher, z.b. auch mit dicken Netzfiltern und schaltest
diese beim Messen an und aus, motortriebe oder ähnliches.

Sprich stehen die Systeme (Sensor/Messung) weiter von einander Weg 
bedienen
sich aus dem netz das aber in der haus/hallenverkablung sonstige wege 
nimmt
können sich auch mal merkliche Erdpotentialdifferenzen bilden diese
dann deine Systemgnd's beeinflussen.
Das wird/kann vorallem bei deine in 4+5 direkt an PIn angeschlossenen
OPV's echt probleme machen da bist du leicht dann über den möglichen
eingangspannungen der OPV's und du misst dann auch Mist mit die du
dir mit den zwei erdbezügen einfängst.

2 und 3 als differenzverstärker haben die möglichkeit
den anteil Common Mode Störungen zu drücken ist klar und hier können
auch noch höherer Pegel bei den Störungen durch Potential Schwankungen
ausgeglichen werden. Durch deine Eingangsspannungsteiler und Bezug
am OPV ergibt sich hier ein etwas störsicherer betrieb.
Müsstet halt mal ausrechnen bei welchen Eingangsamplituden
du aus der Input Range der OPVs herausgehst, höhere Versorgung geht auch
noch um die mögliche Eingangs Spannungsbereiche zu vergrößern.
Je nachdem wo und wie das System eingesetzt wird könnte 3 eine 
brauchbare
lösung sein, nimm aber hochohmigere Widerstände,und entwerfe eine
eingangsschutz-/begrenzungs- schaltung und lege das so aus
das dein Messeingang bis bestimmte nötige Potentialdifferenzen
verkraftet und darüber nicht zerstört wird.

Quasie nummer sicherer könntest dann mit 1 fahren.

Und diese verkrampft auf super rauscharme verstärke und super
niederohmig wohl wegen thermischen rauschen im Widerstand,
sonst macht der OP kein Sinn usw usf ist an der falschen stelle 
angesetzt

Quantifiziere dein Messproblem in deiner eingestezten Umgebung 
beschränke
dich aufs nötige, ist zb. 1Mhz BW wirklich von nöten, zumal tastest du
auch äqvivalent dafür ab? Ich würde hier mal analysieren was ich 
brauche,
Bandbreite begrenzen, dies kannst du direkt am Eingang, über die OPV
Signal aufbereitung hinweg bis kurz vorm ADC wobei hier eher ein sample
filter hinsoll (BW >> z.b 10* als die restliche Bandbreite zuvor)
fürs initial schnelle laden in den Ample Kondensator...

von Gustl B. (-gb-)


Lesenswert?

Florian schrieb:
> Die Eingangsstufen eines OPV haben einen ruhestrom, für diesen
> sollte es einen pfad zum bezug(Gnd) der Messchaltung geben.

Hm, da verstehe ich nicht wieso. Wenn man sich die Schaltung vom 
Messverstärker anguckt ist das ja nicht so. Siehe hier 
https://www.elektronik-kompendium.de/public/schaerer/diffamp.htm Bild 4. 
Das ist doch eigentlich Schaltung 5 bei mir nur ohne die R2s und den R1 
weil ich ja Verstärkung 1 haben möchte.

Florian schrieb:
> desweiteren müssen die Eingangspegel an deinem Messopv in einem
> gültigen Bereich liegen, dieser ist auch abhängig der
> Versorgungsspannung des OPV, aber i.R. innerhalb der Rail versorgungen,
> bei manchen typen auch etwas darüber.

Das ist alles sehr gut geerdet. Also die Schirmung liegt auf Erde und 
die Masse vom Messsystem dann auch.

Florian schrieb:
> 2 und 3 als differenzverstärker haben die möglichkeit
> den anteil Common Mode Störungen zu drücken ist klar und hier können
> auch noch höherer Pegel bei den Störungen durch Potential Schwankungen
> ausgeglichen werden. Durch deine Eingangsspannungsteiler und Bezug
> am OPV ergibt sich hier ein etwas störsicherer betrieb.

Auch 4 und 5 haben einen Differenzverstärker drinnen um gemeinsames 
Rauschen auf Signal und Schirmung wegzusubtrahieren.

Florian schrieb:
> Müsstet halt mal ausrechnen bei welchen Eingangsamplituden
> du aus der Input Range der OPVs herausgehst, höhere Versorgung geht auch
> noch um die mögliche Eingangs Spannungsbereiche zu vergrößern.
> Je nachdem wo und wie das System eingesetzt wird könnte 3 eine
> brauchbare
> lösung sein, nimm aber hochohmigere Widerstände,und entwerfe eine
> eingangsschutz-/begrenzungs- schaltung und lege das so aus
> das dein Messeingang bis bestimmte nötige Potentialdifferenzen
> verkraftet und darüber nicht zerstört wird.

Nehmen wir der Einfachheit mal an, dass das alles passt und sich das 
Signal der Quelle schön im Eingangsbereich der Schaltung bewegt.

Florian schrieb:
> Quasie nummer sicherer könntest dann mit 1 fahren.

Stimmt, aber da habe ich dann wegen der Isolation DCDC Wandler, ich kann 
nicht abschätzen wie sich das aufs Rauschverhalten auswirkt. Natürlich 
wird die Versorgung gefiltert.

Florian schrieb:
> Und diese verkrampft auf super rauscharme verstärke und super
> niederohmig wohl wegen thermischen rauschen im Widerstand,
> sonst macht der OP kein Sinn usw usf ist an der falschen stelle
> angesetzt

Ich habe keine Ahnung. Was würde man sonst verwenden? Die ADA4896 ... 
ADA4898 Reihe sieht halt richtig fein aus.

Florian schrieb:
> Quantifiziere dein Messproblem in deiner eingestezten Umgebung
> beschränke
> dich aufs nötige, ist zb. 1Mhz BW wirklich von nöten, zumal tastest du
> auch äqvivalent dafür ab? Ich würde hier mal analysieren was ich
> brauche,
> Bandbreite begrenzen, dies kannst du direkt am Eingang, über die OPV
> Signal aufbereitung hinweg bis kurz vorm ADC wobei hier eher ein sample
> filter hinsoll (BW >> z.b 10* als die restliche Bandbreite zuvor)
> fürs initial schnelle laden in den Ample Kondensator...

OK, Messproblem ist aber eigentlich klar. Ich habe die Quelle und das 
was da raus kommt soll ich digitalisieren. 1MHz sind vielleicht etwas 
viel 100kHz etwas wenig. Abgetastet wird schnell genug und dann 
gemittelt. Filter werde ich reinbauen, Tiefpass mit 1MHz Grenzfrequenz.

Vielen Dank!

von Florian (Gast)


Lesenswert?

Gustl B. schrieb:
> Hm, da verstehe ich nicht wieso. Wenn man sich die Schaltung vom
> Messverstärker anguckt ist das ja nicht so. Siehe hier
> https://www.elektronik-kompendium.de/public/schaer... Bild 4.
> Das ist doch eigentlich Schaltung 5 bei mir nur ohne die R2s und den R1
> weil ich ja Verstärkung 1 haben möchte.

Dies ist ein Grund-/Prinzipschaltbild zum Instrumentenverstärker und
dient zur Erklärung der Basisfunktionalität.
Bist du denn dem Herr Schaerer seine weiteren OPV Minikurse
durchgegangen.
Hast du dir neben den (erweiterten) Grundlagen auch z.B. mal den EMG
Verstärker mal angesehen(als reelle applikation), die Eingänge an
denen die Elektroden angeschlossen werden bekommen einen Bezug durch
die Referenzelektrode welche an Messschaltungs Gnd oder an einem
allgemein geeigneten Bezugspotential der Messschaltung liegt.
Das ganze passiert dann über die Kontaktstellen am DUT, ich sagte
ja das muss nicht zwangsweise an ort und stelle (des OPV) erfolgen.

Allgemein geben auch Application Notes der Hersteller informationen
zur Input/Common Mode Range und zum DC Input Bias Current Pfad,
und darüber hinaus auch noch viel viel mehr. Ich denke hier gibts
noch viele wichtige grundlegende Informationen für dich zu erarbeiten.

> Das ist alles sehr gut geerdet. Also die Schirmung liegt auf Erde und
> die Masse vom Messsystem dann auch.

Was gerade bei deinem Konzept zu Problemen führen könnte.
Überlege mal wenn dein Sensor und deine Messchaltung, beide am 
Bezug(Gnd)
geerdet aber räumlich entfernt am Netz angeschlossen sind und du
nur kleinere differenzen zwischen diesen zwei Bezugspunkte hast was
passieren kann wenn der Bezug(schirm) vom Sensor direkt an den Input
vom OPV auf der Messeite (Eingang sehr Hochohmig) angeschlossen wird.
Du bist ruckizucki schon mit kleinen störamplituden auserhalb der 
gültigen
Input Range deines OPV(vor allem bei +-3V Versorgung).


> Auch 4 und 5 haben einen Differenzverstärker drinnen um gemeinsames
> Rauschen auf Signal und Schirmung wegzusubtrahieren.
vergiss das mit dem rauschen zu subtrahieren, du subtrahierst so kein
rauschen du addierst quadratisch eher noch welches, meine Meinung.
Common Mode(Gleichtakt) Störungen elleminieren das ist/wäre einer der
sinne dahinter.
Auch hier, schau mal wie derr Herr Schaerer bei z.b. seiner EMG 
elektronik
Rauschen reduziert. Erster einfacher Ansatz -> Bandbreiten Begrenzung.

> Nehmen wir der Einfachheit mal an, dass das alles passt und sich das
> Signal der Quelle schön im Eingangsbereich der Schaltung bewegt.
Puhhh dann Leg doch einfach mal los...

> Stimmt, aber da habe ich dann wegen der Isolation DCDC Wandler, ich kann
> nicht abschätzen wie sich das aufs Rauschverhalten auswirkt. Natürlich
> wird die Versorgung gefiltert.
Für deinen Anwendungsfall auch alles halb so wild.
Z.b. als erster ansatz -> Powersuply rejection ratio PSRR.
Gehst du selbst mit 50mV!!! Ripple bei 10-100khz an die
OPV Versorgung welcher bei 10khz bis 100khz eine PSRR von 60db-40db
hat kommen davon am Ausgang noch 50µV-500µV zum tragen.
Dein 12Bit ADC mit 2,048V ref hat pro LSB tada 500µV..
selbst mit den einfachen isolierten Murata/Recom/Traco DC/DC
lassen sich mit einfachen filtern <5mV erreichen.
Niederfrequentere Änderrungen der Versorgung werden vom OPV i.R. klar
noch besser unterdrückt. GGf rückt man den entsprechenden DCDC Wandler
mit zusatz Balast in einen besseren Arbeitsbereich.
Bei deinen Anforderungen Imo halb so wild...
Und nun stellt sich wiedermal die frage nach der wirklich
notwendigen Bandbreite deiner Signal Aufbereitung.


> Ich habe keine Ahnung. Was würde man sonst verwenden? Die ADA4896 ...
> ADA4898 Reihe sieht halt richtig fein aus.
erstmal weiterführende Grundlagen, vor allem auch zu
Eingangsschuztschaltungen z.B. für ESD und ggf weitere
Leitungsgebundenen Störungen. Sau blöd wenn jetzt einige deiner Studien
Kollegen sich am Vorleger erstmal schön die Schuhe abtreten und dann
beim bestaunen mal an die SMA/BNC Buchse greifen die im worst case
direkt in P-In vom OPV geht. Blöd dann wenn bei der Vorführung danach 
nix
mehr geht.
Für sowas würde ich jetzt das nehmen was ich hier gleich griffbereit 
habe,
und bei uns auch so verwendet wird, zb. OPx177  ADA4177  TLE202x usw 
usf
die hab ich gerade im Kopf. Da ich auch immer noch nicht recht glaube 
das
wirklich 1Mhz nötig sind Verstärkungen nicht benötigt werden hätte ich 
mit der Bandbreite erstmal keine schmerzen. Aber wie gesagt die haben 
wir, man sucht auch nicht für alles was neues sondern bedient sich gerne 
auchmal möglichst passend am bestand.

Es geht auch bissel darum, man sieht anhand der Schaltung, der Wahl des
OPV dem Umstand das du rauschen mit einem Instrumentenverstärker
wegsubtrahieren möchtest (ohne jegliche art der zusätzlichen Filterrung)
das hier ohne klare kenntnisse und Grundlagen drauf los gefeuert wird
ist absolut nicht tragisch man muss lernen, ich kenne Entwickler die
machen das gerne so, super dupa OPV's, muss isoliert sein also toller
DC/DC Wandler puuh angst vor Störungen, Precision ISO OPV alles teuer
um dann am Ende auf ein 12Bit MCU ADC zu gehen. Dies ohne das am ende 
ein
Abgleich gemacht wird geschweige nötig ist da für die Aufgabe +-3% sogar 
wurscht sind. Und ja das hatte ich auch schon hintermir, wenn geld
keine rolle spielt kann man so auch Erfahrungen sammeln.
Das heißt du kannst machen was du willst(ggf. in begrenzten rahmen),
mach es aber bewusst, sprich du weißt möglichst was und warum du tust.


> OK, Messproblem ist aber eigentlich klar. Ich habe die Quelle und das
> was da raus kommt soll ich digitalisieren. 1MHz sind vielleicht etwas
> viel 100kHz etwas wenig. Abgetastet wird schnell genug und dann
> gemittelt. Filter werde ich reinbauen, Tiefpass mit 1MHz Grenzfrequenz.

Was gibt die Quelle den aus, was macht diese genau, was für einen 
Bandbreite hat diese, und was für eine Bandbreite ist für die
Anwdnung tatsächlich erforderlich..
Anhand deiner Anwort muss ich von ausgehen das du dies nicht wirklich 
weißt.

Gruß

von Gustl B. (-gb-)


Lesenswert?

Florian schrieb:
> Dies ist ein Grund-/Prinzipschaltbild zum Instrumentenverstärker und
> dient zur Erklärung der Basisfunktionalität.
> Bist du denn dem Herr Schaerer seine weiteren OPV Minikurse
> durchgegangen.
> Hast du dir neben den (erweiterten) Grundlagen auch z.B. mal den EMG
> Verstärker mal angesehen(als reelle applikation), die Eingänge an
> denen die Elektroden angeschlossen werden bekommen einen Bezug durch
> die Referenzelektrode welche an Messschaltungs Gnd oder an einem
> allgemein geeigneten Bezugspotential der Messschaltung liegt.

Teilweise. Der EMG Verstärker sah mir zu kompliziert aus weil ich habe 
ja nicht irgendwas das ich messen will, sondern weiß schon grob was ich 
erwarte.

Florian schrieb:
> Allgemein geben auch Application Notes der Hersteller informationen
> zur Input/Common Mode Range und zum DC Input Bias Current Pfad,
> und darüber hinaus auch noch viel viel mehr. Ich denke hier gibts
> noch viele wichtige grundlegende Informationen für dich zu erarbeiten.

Das sehe ich auch so, ich mache jetzt seit grob einem Jahr 
Analogelektronik, macht viel Spaß, ist aber nicht einfach.

Florian schrieb:
> Überlege mal wenn dein Sensor und deine Messchaltung, beide am
> Bezug(Gnd)
> geerdet aber räumlich entfernt am Netz angeschlossen sind und du
> nur kleinere differenzen zwischen diesen zwei Bezugspunkte hast was
> passieren kann wenn der Bezug(schirm) vom Sensor direkt an den Input
> vom OPV auf der Messeite (Eingang sehr Hochohmig) angeschlossen wird.

Verstehe ich nicht. Räumlich werden das maximal 3 Meter und wieso 
sollten kleine Störungen dann über den Eingangsbereich gehen?

Florian schrieb:
> vergiss das mit dem rauschen zu subtrahieren, du subtrahierst so kein
> rauschen du addierst quadratisch eher noch welches, meine Meinung.
> Common Mode(Gleichtakt) Störungen elleminieren das ist/wäre einer der
> sinne dahinter.

Common Mode(Gleichtakt) Störungen sind für mich Rauschen. Eben etwas das 
unerwünscht ist.

Florian schrieb:
> Für deinen Anwendungsfall auch alles halb so wild.
> Z.b. als erster ansatz -> Powersuply rejection ratio PSRR.
> Gehst du selbst mit 50mV!!! Ripple bei 10-100khz an die
> OPV Versorgung welcher bei 10khz bis 100khz eine PSRR von 60db-40db
> hat kommen davon am Ausgang noch 50µV-500µV zum tragen.
> Dein 12Bit ADC mit 2,048V ref hat pro LSB tada 500µV..
> selbst mit den einfachen isolierten Murata/Recom/Traco DC/DC
> lassen sich mit einfachen filtern <5mV erreichen.
> Niederfrequentere Änderrungen der Versorgung werden vom OPV i.R. klar
> noch besser unterdrückt. GGf rückt man den entsprechenden DCDC Wandler
> mit zusatz Balast in einen besseren Arbeitsbereich.
> Bei deinen Anforderungen Imo halb so wild...
> Und nun stellt sich wiedermal die frage nach der wirklich
> notwendigen Bandbreite deiner Signal Aufbereitung.

Einen Murata DCDC wolte ich verwenden, schön wenn das nicht so wild ist. 
wird natürlich auch gefiltert.

Florian schrieb:
> Für sowas würde ich jetzt das nehmen was ich hier gleich griffbereit
> habe,
> und bei uns auch so verwendet wird, zb. OPx177  ADA4177  TLE202x usw
> usf
> die hab ich gerade im Kopf. Da ich auch immer noch nicht recht glaube
> das
> wirklich 1Mhz nötig sind Verstärkungen nicht benötigt werden hätte ich
> mit der Bandbreite erstmal keine schmerzen. Aber wie gesagt die haben
> wir, man sucht auch nicht für alles was neues sondern bedient sich gerne
> auchmal möglichst passend am bestand.

Nun ich habe halt keinen Bestand an OPVs rumliegen. Und ob das am Ende 
20€ teurer wird oder nicht ist egal. Die Platine als Einzelstück kostet 
da schon sehr viel mehr. Gut, ESD Schutz will man haben, verstehe ich.

Florian schrieb:
> Es geht auch bissel darum, man sieht anhand der Schaltung, der Wahl des
> OPV dem Umstand das du rauschen mit einem Instrumentenverstärker
> wegsubtrahieren möchtest (ohne jegliche art der zusätzlichen Filterrung)
> das hier ohne klare kenntnisse und Grundlagen drauf los gefeuert wird
> ist absolut nicht tragisch man muss lernen, ich kenne Entwickler die
> machen das gerne so, super dupa OPV's, muss isoliert sein also toller
> DC/DC Wandler puuh angst vor Störungen, Precision ISO OPV alles teuer
> um dann am Ende auf ein 12Bit MCU ADC zu gehen. Dies ohne das am ende
> ein
> Abgleich gemacht wird geschweige nötig ist da für die Aufgabe +-3% sogar
> wurscht sind. Und ja das hatte ich auch schon hintermir, wenn geld
> keine rolle spielt kann man so auch Erfahrungen sammeln.
> Das heißt du kannst machen was du willst(ggf. in begrenzten rahmen),
> mach es aber bewusst, sprich du weißt möglichst was und warum du tust.

Also mir ging es hier in dem Thread eben nicht um den ADC und auch nicht 
um die Filterung. Sondern um die Verschaltung der OPVs die sich in 
Multisim ziemlich identisch verhalten. Auf der Platine habe ich einen 
LC-Tiefpass drinnen, den hatte ich auch schon auf der vorherigen Platine 
drauf mit grob 1MHz Grenzfrequenz. Natürlich muss ich noch viel lernen, 
deshalb bin ich hier und stelle Fragen. Ich werde die Platine auch mit 
mehreren unterschiedlichen Eingangsschaltungen bauen um die dann 
untereinander vergleich zu können, das wird eine Testplatine und des 
Eingang mit dem besten Ergebnis kommt dann auf das fertige "Produkt". Ob 
+-3% wurscht sind weiß ich nicht, meistens nicht aber bei stark 
verrauschten Proben vermutlich schon. Ob 12 Bit verwendet werden ist 
noch nicht klar, vielleicht wird es auch der AD7357 mit 14Bits.

Florian schrieb:
> Was gibt die Quelle den aus, was macht diese genau, was für einen
> Bandbreite hat diese, und was für eine Bandbreite ist für die
> Anwdnung tatsächlich erforderlich..
> Anhand deiner Anwort muss ich von ausgehen das du dies nicht wirklich
> weißt.

Die Quelle ist ein Photodetektor in einem 
Transmissionselektronenmikroskop. Da wird mit dem Elektronenstrahl über 
die Probe gefahren und zwar nicht linear von links nach rechts sondern 
wegen digitaler Ansteuerung in Pixeln. Die Position des Strahls macht 
also Sprünge auf der Probe. Die Zeit zwischen zwei Sprüngen geht runter 
bis so 4 us. Man kann auch noch schnelleres Scanning einstellen glaube 
ich. Wenn man ein sauberes Bild erhalten will scannt man langsamer mit 
so > 10 us/Pixel. Jetzt ist die Probe nicht überall gleich und man 
bekommt bei einem Pixel einen hohen Photostrom und bei dem daneben einen 
geringeren, ... das ist also ein Signal das in ideal so aussieht wie 
lauter Stufen und scharfen Flanken dazwischen. In der Realität sind die 
Flanken nicht ganz so scharf, aber trotzdem kann ich da keinen krassen 
Tiefpass hinbauen weil ich sonst die Flanken ganz vermatsche. Ich 
bekomme am Messsystem mit wann das Pixel wechselt. Und daher kann ich 
auch die Samplewerte die zu einem Pixel gehören mitteln, ich baue also 
keinen gleitenden Mittelwert, sondern kann wirklich für jedes Pixel die 
zugehörigen ADC-Samples mitteln und weil wir gaußförmiges Rauschen haben 
(Shot-Noise der Elektronenkanone) funktioniert das ziemlich gut. Habe 
ich einen zu starken Tiefpass, dann liuegen die ersten ADC Samples des 
aktuellen Pixels noch unter/über dessen echten Wert weil da das 
vorherige Pixel mit reinspielt.
Das Signal vom Photosensor geht in einen Transimpedanzwandler (externe 
Box), von da in einen Verstärker (auch Box an der man Verstärkungen 
manuell einstellen kann) und da kommt das dann raus mit 0 ... 12V.

von Florian (Gast)


Lesenswert?

Gustl B. schrieb:
> Teilweise. Der EMG Verstärker sah mir zu kompliziert aus weil ich habe
> ja nicht irgendwas das ich messen will, sondern weiß schon grob was ich
> erwarte.

Mit dieser Applikation sollte auch nicht irgendwas gemessen werden.
Es ging aber eher darum, sich ein Bsp. einer realen Applikation 
anzusehen
und nicht nur Prinzip/Grundschaltbilder

> Verstehe ich nicht. Räumlich werden das maximal 3 Meter und wieso
> sollten kleine Störungen dann über den Eingangsbereich gehen?

Ich schrieb ja schonmal, auf dem Labortisch alles kurz und direkt
verkabelt wird schon irgendwie funktionieren.
Leider wüsste ich jetzt eben auch nicht mehr wie ich es dir schriftlich
besser erklären soll was passieren könnte.

> Common Mode(Gleichtakt) Störungen sind für mich Rauschen. Eben etwas das
> unerwünscht ist.
Okay, sehe ich zwar anders aber das tut dann auch nix mehr zur sache.

> Einen Murata DCDC wolte ich verwenden, schön wenn das nicht so wild ist.
> wird natürlich auch gefiltert.

Was ich noch erwähnen sollte das Bsp. oben gilt für Gain = 1.
Viele der potentiellen Fehlerquellen sind RTI angegeben also
bezogen auf den Eingang.

> Nun ich habe halt keinen Bestand an OPVs rumliegen. Und ob das am Ende
> 20€ teurer wird oder nicht ist egal. Die Platine als Einzelstück kostet
> da schon sehr viel mehr. Gut, ESD Schutz will man haben, verstehe ich.

Das überleben bei Energiereicheren Störungen auf der Zuleitung kann auch 
nicht schaden wobei das für einzelstück Laborbetrieb wohl nicht so eng
gehalten werden muss.


> Die Quelle ist ein Photodetektor in einem
> Transmissionselektronenmikroskop. Da wird mit dem Elektronenstrahl über
> die Probe gefahren und zwar nicht linear von links nach rechts sondern
> wegen digitaler Ansteuerung in Pixeln. Die Position des Strahls macht
> also Sprünge auf der Probe. Die Zeit zwischen zwei Sprüngen geht runter
> bis so 4 us. Man kann auch noch schnelleres Scanning einstellen glaube
> ich. Wenn man ein sauberes Bild erhalten will scannt man langsamer mit
> so > 10 us/Pixel. Jetzt ist die Probe nicht überall gleich und man
> bekommt bei einem Pixel einen hohen Photostrom und bei dem daneben einen
> geringeren, ... das ist also ein Signal das in ideal so aussieht wie
> lauter Stufen und scharfen Flanken dazwischen. In der Realität sind die
> Flanken nicht ganz so scharf, aber trotzdem kann ich da keinen krassen
> Tiefpass hinbauen weil ich sonst die Flanken ganz vermatsche. Ich
> bekomme am Messsystem mit wann das Pixel wechselt. Und daher kann ich
> auch die Samplewerte die zu einem Pixel gehören mitteln, ich baue also
> keinen gleitenden Mittelwert, sondern kann wirklich für jedes Pixel die
> zugehörigen ADC-Samples mitteln und weil wir gaußförmiges Rauschen haben
> (Shot-Noise der Elektronenkanone) funktioniert das ziemlich gut. Habe
> ich einen zu starken Tiefpass, dann liuegen die ersten ADC Samples des
> aktuellen Pixels noch unter/über dessen echten Wert weil da das
> vorherige Pixel mit reinspielt.
> Das Signal vom Photosensor geht in einen Transimpedanzwandler (externe
> Box), von da in einen Verstärker (auch Box an der man Verstärkungen
> manuell einstellen kann) und da kommt das dann raus mit 0 ... 12V.

Jetzt wird des schonmal konkreter und interessant.
Also eine Ortsaufgelöste Messung zum ermitteln bestimmter Eigenschaften
Bildlich dargestellt?.
Mit Elektronenstrahlen haben wir nicht viel zu tun aber zumindest
machen wir u.a. Ortsaufgelöste Messungen mehr oder weniger normal
beleuchteter Flächen.

Was ist für euch nun interessant?
zb. auch der tatsächliche Anstieg, ein Trend/Änderrungen der Werte,
oder nur ein stabiler mittlerer Wert innerhalb einer ortsaufgelösten
Messung?.

Kann ich von ausgehen das ihr eine Synchrone Steuerrung der Abläufe 
habt,
also es wird Örtlich(x,y) "bestrahlt" und die Steurrung triggert dann 
die Messungen? dann wird auf Ort(x+1,y)(oder frei in Bildebene) 
hin"geschaltet"
und erst dann werden wieder Messungen getriggert? So das die Messungen
den jeweiligen Ort zweifelsfrei zugewisen werden können?

So machten wir das, weil auch einfacher zu handhaben.
Die zahlreichen Sensoren wurden per Muxer auf den Verstärker
und ADC Pfad aufgeschaltet. Dies wurde bei uns von einem einfacher MCU
bewerkstelligt. Diese hat nach vorgegebener Sequenz den jeweiligen
Sensor aufgeschaltet. Nun verging eine gewisse verzugszeit bis die erste
Messung gestartet wurde. Warum, klar das signal sollte eingeschwungen
sein nach dem umschalten von Sensor x auf Sensor y.
Aufgrund unserer begrenzten abtatsrate (250ks/s) war der Filter davor
schon ein begrenzender Faktor. Wir hatten so 10 - 15 µs settle Time
bis dann die erste Messung gestartet wurde, gefolgt von 4µs intervallen
der weiteren Messpunkte innerhalb des gesamten Ortsmessintervall(z.b 
50µs).
Es sollte natürlich nicht die Antwortzeit der elektronik davor
mit gemessen werden.
Dazu muss man auch sagen am Ende intresierte uns auch nur noch ein
möglichst stabiler Mittelwert innerhalb eines großen Orts Messintervall.

Am Ende haben wir den Tiefpass auch noch niedriger angesetzt,
die setttle Time zur ersten Messung verlängert und innerhalb eines
gleichbleibenden großen Orts Messinterval (z.b. 50µs) dann zwar weniger
Samples gehabt aber diese dennoch die stabileren (mittel)Werte lieferte.

Dies sollte jetzt nur mal so als grobe Anregung für weitere gedanken 
sein.

Gruß

von Gustl B. (-gb-)


Lesenswert?

Florian schrieb:
> Okay, sehe ich zwar anders aber das tut dann auch nix mehr zur sache.

Stimmt schon, Rauschen ist etwas das aus der Quelle rauskommt und 
Störung das was danach noch eingebracht wird.

Florian schrieb:
> Was ist für euch nun interessant?
> zb. auch der tatsächliche Anstieg, ein Trend/Änderrungen der Werte,
> oder nur ein stabiler mittlerer Wert innerhalb einer ortsaufgelösten
> Messung?.

Was meinst Du damit? Also wir brauchen vom aktuellen Pixel das 
beleuchtet wird die absolute Helligkeit, nicht nur die Änderung zum 
Vorherigen. Ich brauche also von der Spannung wirklich die Höhe und kann 
das nicht AC-koppeln.

Sonst innerhalb eines Pixels interessiert nur die Spannung/Helligkeit. 
Wie man das erfasst ist eigentlich egal, aber es muss schnell gehen 
(<10us). Und weil da eben Shot-Noise drauf ist mit Gauß-Verteilung 
bietet es sich an das oft abzutasten und zu mitteln. Mit 5MSamples/s 
bekomme ich bei 10us/Pixel 50 Samples und schon eine sehr gute 
Mittelung.

Florian schrieb:
> Kann ich von ausgehen das ihr eine Synchrone Steuerrung der Abläufe
> habt,
> also es wird Örtlich(x,y) "bestrahlt" und die Steurrung triggert dann
> die Messungen? dann wird auf Ort(x+1,y)(oder frei in Bildebene)
> hin"geschaltet"
> und erst dann werden wieder Messungen getriggert? So das die Messungen
> den jeweiligen Ort zweifelsfrei zugewisen werden können?

Ja kann man. Da ist zwar etwas Latenz, also das ertse Sample am neuen 
Ort werde ich wegwerfen, aber ja sonst passt das. Man kann also wirklich 
über Samples von einem Ort mitteln und baut einen gleitenden Mittelwert.
Das ist zumindest der Plan. Die Software im TEM verwendet für eine 
NI-Karte mit zwei DA-andlern um die x und y Position auszugeben. Ich 
komme da über eine selbstgebaute Huckepackkarte an die digitalen Signale 
vor den DA-Wandlern. Die greife ich also ab, habe so meine Position und 
kann das dann bei mir im FPGA verwursten.

Kling ebenfalls interessant was Du so gemacht hast ... bisher verwenden 
wir eine Messkarte mit Software von ADWIN. Aber die ist langsam vor 
allem wenn es von einer Zeile zur nächsten Zeile geht, sehr viel 
langsamer als das TEM selbst scannen könnte. Und dann sampelt die Karte 
auch nur jedes Pixel einmal mit 16 Bits, man landet also irgendwo im 
Shot-Noise wenn ich das richtig verstanden habe.
Einschwingzeit oder so haben wir wohl keine, ausser beim Zeilenwechsel. 
Aber da kümmert sich die TEM Software drum, da wird also die Zeile 
gewechselt und dann erstmal etwas gewartet bis die neue Zeile dann in 
gleichen Zeitschrittchen gescannt wird. Für mich ist das dann einfach 
weil ich das über die Position mitbekomme und nichts mehr selber machen 
muss.

Florian schrieb:
> Am Ende haben wir den Tiefpass auch noch niedriger angesetzt,
> die setttle Time zur ersten Messung verlängert und innerhalb eines
> gleichbleibenden großen Orts Messinterval (z.b. 50µs) dann zwar weniger
> Samples gehabt aber diese dennoch die stabileren (mittel)Werte lieferte.

OK. Ja das könnte man tatsächlich machen, also jedes Pixel nur in der 
Mitte (zeitlich) abtasten und dafür einen stärkeren Tiefpass mit 
niedrigerer Grenzfrquenz. Das Problem ist aber für mich, dass die 
Scangeschwindigkeit nicht gleich bleibt. Will man schnell ein Bild 
scannen für Vorschau oder weil das was man beobachtet im TEM eben 
schnell geht, dann ist man bei <5us/Pixel. Will man ein Bild machen von 
etwas das sich nicht verändert wird manchmal mit 20 bis 50 us/Pixel 
gescannt.

Florian schrieb:
> Dies sollte jetzt nur mal so als grobe Anregung für weitere gedanken
> sein.

Vielen Dank! Wir haben und schon Gedanken in andere Richtungen gemacht, 
z. B. gated Integrator und so, aber das was man da einfach kaufen kann 
ist nicht schnell genug. Den ACF2101 haben wir uns näher angesehen aber 
das ist einfach zu langsam.

von Gustl B. (-gb-)


Lesenswert?

So, hallo ich bins nochmal ...

Und zwar will ich ja ein Signal messen mit einem ADC. Das Signal kommt 
von einem Transimpedanzverstärker und davor von einer Photodiode in 
einem Transmissionselektronenmikroskop.

Das Signal kann von 0 bis 12 V gehen, ist aber oft ziemlich schwach. Das 
heißtdie Schwankungen im Signal (= Dynamikbereich) sind schwach und 
diese sitzen auf einem DC Offset drauf (= Grundhelligkeit).

Ich würde gerne diese Schwankungen möglichst gut mit dem ADC erfassen, 
brauche aber trotzdem den genauen Wert, also auch den Offset.

Wie macht man das? Zieht man da analog vorher eine Spannung ab? Ich 
könnte mehrere Spannungsreferenzen verlöten und die dann wahlweise an 
einen Subtrahierer schalten.

Als ADC werde ich einen (mehrere) 16 Bit LTC2325 verwenden, die können 0 
bis 4V am Eingang und sind differentiell. Das ist auch kein Problem, ich 
muss eben vorher an einem OPV das Signal von 0 bis 12V nach 0 bis 4V 
ändern und da könnte ich auch Offsets abziehen.
Weil mich hohe Frequenzen > 1MHz nicht interessieren könnte ich auch 
einen Programmable Gain Amplifier verbauen um den Dynamikbereich zu 
verstärken wenn der nur sehr klein ist. Also zuerst variable mit 
Transistoren Offsets abziehen und dann verstärken oder auch nicht.
Ich stelle mir das so vor, wenn die Spannung am Eingang z. B. 4,8V DC 
Offset hat und darauf dann z. B. 600mV Gezappel ist, dann würde ich 4V 
Offset abziehen und den Rest dann der von 0 bis 1,4V geht um den Faktor 
2 verstärken. Das geht dann von 0 ... 2,8V und wird dann nach 
differentiell gewandelt für den ADC. Für das Ergebnis weiß ich, dass ich 
Verstärkungsfaktor 2 eingestellt habe und dass ich 4V vorher abgezogen 
habe, ich kann das also zurückrechnen auf die ursprüngliche Spannung 
aber den Dynamikbereich der Probe genauer vermessen.

Ist das OK oder wie würde das ein Profi machen?

von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

So, ich habe mir jetzt mal im Multisim eine Schaltung gebaut und dazu 
einige Fragen. Erstmal die Schaltung:

Vom Eingangssignal kann man wahlweise mehrere Spannungen abziehen, dann 
kann man es abschwächen, variabel verstärken mit Invertierung so dass es 
wieder positiv ist und am Ende wird es nach differentiell gewandelt für 
den ADC.

Auf den Oszi Bildchen sieht man ein Signal mit 8V DC-Offset und 1V AC 
darauf das dann nach 4V unipolar verändert wird und am Ende eben 8V 
differentiell ist.

Fragen:

1. Die Spannungen die man abziehen kann würde ich über mehrere 
Spannungsreferenzen erzeugen. Aber was schalte ich da wie? Die Spannung 
zwischen Referenz und OPV zu schalten verfälscht vermutlich etwas, daher 
würde ich die Versorgungsspannung zur Referenz schalten. Aber wie? Ich 
dachte da an Halbleiterrelais wie das 
http://de.farnell.com/vishay/vo1400aeftr/relay-mosfet-spst-no-0-1a-60v/dp/2396109 
.

2. Würde man das überhaupt so aufbauen oder ganz anders machen? Ich 
vermute, dass durch die Schaltung das Signal schon verfälscht wird 
(Temperaturdrift von den Bauteilen). Ist es sinnvoller den Eingang 
direkt mit 16Bit abzutasten oder das so zu machen dass man nur den AC 
Anteil erfasst? Mir bringt es nichts wenn ich dann zwar den AC Anteil 
schön groß habe so dass der den ADC voll aussteuert, aber dann doch 
stärker rauscht wie wenn ich das kleinere Signal direkt abgetastet 
hätte.

Eine AC Kopplung vom Eingang geht leider nicht weil ich auf jeden Fall 
auch die Höhe vom DC Offset wissen muss.

von Robert M. (r0bm)


Lesenswert?

Gustl B. schrieb:
> Fragen:
>
> 1. Die Spannungen die man abziehen kann würde ich über mehrere
> Spannungsreferenzen erzeugen. Aber was schalte ich da wie?

Die Spannungsreferenz nicht schaltbar machen sondern über einen 
getrennten DA-Wandler variabel gestalten und vom Eingang abziehen.

>
> 2. Würde man das überhaupt so aufbauen oder ganz anders machen?
> Eine AC Kopplung vom Eingang geht leider nicht weil ich auf jeden Fall
> auch die Höhe vom DC Offset wissen muss.

DC and AC Anteil getrennt erfassen. Den Eingangverstärker AC koppeln, 
über einen einstellbaren Vorverstärker die Wechselspannung dem gegebenen 
Dynamikbereich anpassen und dann messen.
Den DC-Anteil in einer getrennten, DC-gekoppelten Pufferstufe mit 
Tiefpasswirkung anpassen und danach mit dem selben oder einem zweiten 
AD-Wandler messen.

von Gustl B. (-gb-)


Lesenswert?

Robert M. schrieb:
> Die Spannungsreferenz nicht schaltbar machen sondern über einen
> getrennten DA-Wandler variabel gestalten und vom Eingang abziehen.

Das klingt gar nicht so dumm. Mal gucken wie genau und rauschfrei so 
DA-Wandler sind im Vergleich zu Spannungsreferenzen.

Robert M. schrieb:
> DC and AC Anteil getrennt erfassen. Den Eingangverstärker AC koppeln,
> über einen einstellbaren Vorverstärker die Wechselspannung dem gegebenen
> Dynamikbereich anpassen und dann messen.
> Den DC-Anteil in einer getrennten, DC-gekoppelten Pufferstufe mit
> Tiefpasswirkung anpassen und danach mit dem selben oder einem zweiten
> AD-Wandler messen.

Das geht leider nicht. Das Signal kommt aus einem Mikroskop das 
Probenoberflächen abscannt. Es kann also je nach Probe vorkommen, dass 
sich der DC-Offset innerhalb der Probe deutlich ändert und auch schnell. 
Wenn ich da das DC Signal getrennt messe und das stark tiefpassfiltere, 
dann passt das doch nichtmehr zu der Position an der gerade das AC 
gemessen wird. Das geht alles eher schnell. Aber ich werde mir das 
trotzdem mal genauer überlegen. Wichtig ist eben am Ende, dass man die 
Spannung vom Eingang zu jedem Zeitpunkt möglichst genau misst.

Edit:
Doch es könnte zusammenpassen wenn ich also die Frequenzen trenne. Aber 
wie ist das mit dem Zeitverhalten? Passt das auch zusammen oder hat das 
tiefpassgefilterte etwas Latenz zum AC gekoppelten?

: Bearbeitet durch User
von Dergute W. (derguteweka)


Lesenswert?

Moin,

Wie waers denn, wenn du das Analogsignal vor dem Wandler durch eine 
simple Preemphase leitest. Also z.b. ein Spannungsteiler mit 2 
Widerstaenden, von denen "der obere" mit einem C ueberbrueckt ist. Also 
sowas aehnliches wie einen Hochpass, der tiefe Frequenzen definiert 
abschwaecht. Den Frequenzgang dieses Dings kennst du ja und kannst ihn 
nach dem ADC wieder "rausrechnen".

Gruss
WK

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>Der "Kunde" ist die Uni die genau ein Examplar haben will. Was der Kunde
>braucht ist nicht so klar definiert. Ich soll Spannungen möglichst genau
>erfassen und möchte dabei eben keine zusätzlichen Störungen einbringen.

Das will man immer, wobei "möglichst genau" ein sehr weitläufig 
interpretierbarer Begriff ist. Da kann nix gescheits rauskommen. Man 
muss eine KLARE Spezifikation auiftstellen. X mV etc.

>das was wir machen. Mir geht es drum wie man das Eingangssignal das auf
>BNS/SMA ankommt an den ADC anschließt.

Das Thema beackerst du doch nun schon seit einer Ewigkeit. Kann es sein, 
daß du dich da irgendwie verrannt hast und immer mehr Probleme siehst, 
die eigentlich gar nicht da sind?

>20 Bit brauchen wir nie. Die Signalquelle sebst rauscht schon stark so
>dass die 12Bit vom AD7356 voll ausreichen, auch 10 Bits die nicht
>verrauscht sind würden reichen.

Na also! Das ist doch mal ne Aussage!

> Also wenn der Eingangsbereich von 0 bis
>12V geht will ich noch mindestens 12 mV Stufen unterscheiden können.

12mV Rauschen und Störungen kriegt man wohl ohne Riesenaufwand und ewige 
Diskussion hin, auch bei 1 MHz Bandbreite.

>Mir geht es um die Analogschaltung vor dem ADC und auch da nicht um die
>Typen von OPVs sondern um das Prinzip der Verschaltung.

Du machst das ales viel zu kompliziert. Ich behaupte mal, daß eine 
popelige Single Ended Eingangsstufe locker ausreicht. All deine Versuche 
in  Richtung Differenzverstärker etc. sind akademischer Overkill. Die 
machen im Zweifelsfall mehr und andere Probleme als sie lösen.

>Was glaubst Du wieso ich hier bin? Weil ich mir eben nicht sicher bin

Das wirst du aber auch nie sein, so überempfindlich wie du bist. Das ist 
kein technisches, sondern ein psychiologisches Problem.

>> Die Spannungsreferenz nicht schaltbar machen sondern über einen
>> getrennten DA-Wandler variabel gestalten und vom Eingang abziehen.

>Das klingt gar nicht so dumm. Mal gucken wie genau und rauschfrei so
>DA-Wandler sind im Vergleich zu Spannungsreferenzen.

Nicht auf der Welt ist rauschfrei, wohl aber mehr oder weniger 
rauscharm.

>> DC and AC Anteil getrennt erfassen. Den Eingangverstärker AC koppeln,
>> über einen einstellbaren Vorverstärker die Wechselspannung dem gegebenen
>> Dynamikbereich anpassen und dann messen.

Totaler Overkill für pissige 10-12 Bit und 1 MHz.

>trotzdem mal genauer überlegen. Wichtig ist eben am Ende, dass man die
>Spannung vom Eingang zu jedem Zeitpunkt möglichst genau misst.

Das ist bei jedem Scanner so, nicht nur deinem alten Mikroskop.

>Doch es könnte zusammenpassen wenn ich also die Frequenzen trenne. Aber
>wie ist das mit dem Zeitverhalten? Passt das auch zusammen oder hat das
>tiefpassgefilterte etwas Latenz zum AC gekoppelten?

Mein Gott, was für ein akademischer Unsinn. Mensch Meier, du hast doch 
schon ein halbes Dutzend verschiedene Boards aufgebaut. Waren die alle 
Schrott? Wieviel Rauschen, Verzerrung etc. haben die geliefert? Oder 
suchst du lieber ewig und 3 Tage die perfekte Eingangsstufe mit dem 
perfektem ADC? Das ist krank.

https://de.wikipedia.org/wiki/Perfektionismus_(Psychologie)

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Das will man immer, wobei "möglichst genau" ein sehr weitläufig
> interpretierbarer Begriff ist. Da kann nix gescheits rauskommen. Man
> muss eine KLARE Spezifikation auiftstellen. X mV etc.

Das ist in der Forschung halt nicht einfach machbar, da will man immer 
möglichst genau.

Falk B. schrieb:
> Das Thema beackerst du doch nun schon seit einer Ewigkeit. Kann es sein,
> daß du dich da irgendwie verrannt hast und immer mehr Probleme siehst,
> die eigentlich gar nicht da sind?

Also das jetzt für die Uni mache ich erst seit so Mitte 2016.

Falk B. schrieb:
>>20 Bit brauchen wir nie. Die Signalquelle sebst rauscht schon stark so
>>dass die 12Bit vom AD7356 voll ausreichen, auch 10 Bits die nicht
>>verrauscht sind würden reichen.
>
> Na also! Das ist doch mal ne Aussage!
>
>> Also wenn der Eingangsbereich von 0 bis
>>12V geht will ich noch mindestens 12 mV Stufen unterscheiden können.
>
> 12mV Rauschen und Störungen kriegt man wohl ohne Riesenaufwand und ewige
> Diskussion hin, auch bei 1 MHz Bandbreite.

Das mit den 10 oder 12 Bits hatte ich damals geschrieben. Mittlerweile 
haben wir eine Störquelle gefunden und haben weniger Rauschen. Mit den 
12 Bit ADCs bekomme ich schon gute Ergebnisse aber eben nur 12 Bits, der 
Dynamikbereich sind dann teilweise je nach Probe nurnoch wenige (< 100) 
Werte. Das ist schon eher mau aber die Spannungsunterschiede sind eben 
gering.

Falk B. schrieb:
> Du machst das ales viel zu kompliziert. Ich behaupte mal, daß eine
> popelige Single Ended Eingangsstufe locker ausreicht. All deine Versuche
> in  Richtung Differenzverstärker etc. sind akademischer Overkill. Die
> machen im Zweifelsfall mehr und andere Probleme als sie lösen.

Das ist eben die Frage hier ob es mehr Probleme werden oder nicht, also 
macht so eine Eingangsstufe das Signal viel schlechter und sollte man 
das lieber direkt abtasten?

Weil der ADC einen differentiellen Eingang hat muss ich zumindest ein 
differentielles Signal liefern.

Falk B. schrieb:
> Das ist bei jedem Scanner so, nicht nur deinem alten Mikroskop.

Mit den gleichen Problemen.

Falk B. schrieb:
> Mein Gott, was für ein akademischer Unsinn. Mensch Meier, du hast doch
> schon ein halbes Dutzend verschiedene Boards aufgebaut. Waren die alle
> Schrott? Wieviel Rauschen, Verzerrung etc. haben die geliefert? Oder
> suchst du lieber ewig und 3 Tage die perfekte Eingangsstufe mit dem
> perfektem ADC? Das ist krank.

Für die Uni baue ich Platinen auch weil ich dabei was lernen kann, ist 
eben schön wenn man das Hobby teilweise bezahlt bekommt. Eine Platine 
war für die digitalen Signale im Mikroskop (die Position des 
lektronenstrahls), eine um galvanische Trennung auszuprobieren und 
verschiedene ADC Beschaltungen, und die letzte Platine war um einen 
LTC2325 zu testen. Das funktioniert alles und jetzt wollte ich mich mal 
an die finale Hardware machen.

Nicht verwechseln mit meinen Treads zu den schnellen ADCs mit parallelem 
Anschluss, die verwende ich privat und das funktioniert auch wunderbar.

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>> muss eine KLARE Spezifikation auiftstellen. X mV etc.

>Das ist in der Forschung halt nicht einfach machbar, da will man immer
>möglichst genau.

Unsinn. Denn "möglichst" genau ist praktisch immer unbezahlbar und damit 
sinnlos. Du kannst auch uV Rauschpegel anstreben. Sinnvoll?

>Also das jetzt für die Uni mache ich erst seit so Mitte 2016.

Satte 1,5 Jahre . . .

>Das mit den 10 oder 12 Bits hatte ich damals geschrieben. Mittlerweile
>haben wir eine Störquelle gefunden und haben weniger Rauschen.

Wieviel weniger denn?

> Mit den
>12 Bit ADCs bekomme ich schon gute Ergebnisse aber eben nur 12 Bits, der
>Dynamikbereich sind dann teilweise je nach Probe nurnoch wenige (< 100)
>Werte. Das ist schon eher mau aber die Spannungsunterschiede sind eben
>gering.

Dann liegt das aber meist eher an der Signalgebungshardware, hier dein 
Mikroskop. Immer nach 24 Bit Auflösung schreien ist Unsinn. Irgendwann 
ist eine Meßbereichsumschaltung schlicht sinnvoll und notwendig.

>Das ist eben die Frage hier ob es mehr Probleme werden oder nicht, also
>macht so eine Eingangsstufe das Signal viel schlechter und sollte man
>das lieber direkt abtasten?

Man braucht vor JEDEM halbwegs schnellen ADC einen Eingangspuffer. Wenn 
man es richtig macht, fungiert der auch gleichzeitig als Impedanzwandler 
für den Eingang.

>Weil der ADC einen differentiellen Eingang hat muss ich zumindest ein
>differentielles Signal liefern.

Dann nimm doch einfach einen mit single ended Eingang! Davon gibt es 
genügend und die sind auch qualitativ gut bis sehr gut. Es gibt nur 
wenige Profis, welche die zusätzlichen paar dB an SNR eines 
differentiellen ADC-Eingangs WIRKLICH komplett in einer Schaltung 
umsetzen können.

>Für die Uni baue ich Platinen auch weil ich dabei was lernen kann, ist
>eben schön wenn man das Hobby teilweise bezahlt bekommt. Eine Platine
>war für die digitalen Signale im Mikroskop (die Position des
>lektronenstrahls), eine um galvanische Trennung auszuprobieren und
>verschiedene ADC Beschaltungen, und die letzte Platine war um einen
>LTC2325 zu testen. Das funktioniert alles und jetzt wollte ich mich mal
>an die finale Hardware machen.

Na dann los! Über eine gute Lösung nachdenken ist ja richtig, man kann 
es aber auch übertreiben.

>Vorschlag 1 ist galvanisch getrennt, da muss nichts gesäubert werden
>weil die isolierte Masse ja mitschwingen kann. Es wird nur die Spannung
>von 0 ... 12V nach 0 ... 2V herunter geteilt und das dann nach
>differentiell gewandelt.

Schöne Illusion. Die meisten glauben, weil es eine Gleichstromtrennung 
per Optokoppler oder ähnlichem gibt, ist man alle Probleme los. 
Dabei vergißt man nur allzu schnell, daß die Trennelemente auch 
parasitäre Koppelkapazitäten haben, allen voran DC/DC Wandler. Das sind 
mal fix ein paar Dutzend bis Hunderte pF drin. Und dort pfeifen die 
HF-Störungen locker drüber und es wird im Extremfall eher schlechter.

Mein Vorschlag (schon vor langer Zeit) Bau einen normalen, kompensierten 
Eingangsspannungsteiler, OPV als Puffer dahinter, ggf. mit 
Bandbreitenbegrenzung zur Rauschminimierung und als Anti-Aliasingfilter, 
dann ein Single Ende ADC. Alles ganz normal galvanisch verbunden.

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Satte 1,5 Jahre . . .

Das liegt daran weil ich anfangs keine Ahnung hatte und noch viel lernen 
wollte/musste. Jetzt habe ich wenig Zeit weil ich im Referendariat 
Unterricht vorbereiten muss. Ist schon einigermaßen stressig, macht aber 
sehr viel Spaß!

Das in diesem Beitrag diskutierte ist auch mittlerweile eine Platine 
geworden:
Beitrag "Ein weiteres ADC Board für Trenz Electronic FPGA Modul"
Da sieht man periodisches Rauschen, und das ist jetzt weg. Auf der 
Platine sitzen 12Bit ADCs, funktioniert wir gewünscht, eben 12 Bits.

Falk B. schrieb:
> Wieviel weniger denn?

Das Rauschen hängt im Elektronenmiktoskop auch von der Elektronenquelle 
ab. Das ist Shot Noise. Bei schwachem Strahl sind es <10mV.

Falk B. schrieb:
> Man braucht vor JEDEM halbwegs schnellen ADC einen Eingangspuffer. Wenn
> man es richtig macht, fungiert der auch gleichzeitig als Impedanzwandler
> für den Eingang.

Also das mache ich ja sowieso, muss das Signal ja auch nach 
differentiell wandeln.

Falk B. schrieb:
> Dann nimm doch einfach einen mit single ended Eingang! Davon gibt es
> genügend und die sind auch qualitativ gut bis sehr gut. Es gibt nur
> wenige Profis, welche die zusätzlichen paar dB an SNR eines
> differentiellen ADC-Eingangs WIRKLICH komplett in einer Schaltung
> umsetzen können.

Ok, welchen denn? 16 Bit mit SPI Interface und 5 MSamples/s finde ich 
nur differentiell. Mit dem LTC2325 habe ich auch gleich mehrere ADCs in 
einem Stein uns spare so ein paar IOs (CLK teilen sich die ADCs). Klar 
ich könnte den ADC auch nicht differentiell verwenden, dann verliere ich 
aber ein Bit.

Falk B. schrieb:
> Na dann los! Über eine gute Lösung nachdenken ist ja richtig, man kann
> es aber auch übertreiben.

OK, das habe ich jetzt verstanden. Stimmt 12V/2^16 sind ja auch 0,183mV, 
das sollte reichen.

Falk B. schrieb:
> Schöne Illusion. Die meisten glauben, weil es eine Gleichstromtrennung
> per Optokoppler oder ähnlichem gibt, ist man alle Probleme los.
> Dabei vergißt man nur allzu schnell, daß die Trennelemente auch
> parasitäre Koppelkapazitäten haben, allen voran DC/DC Wandler. Das sind
> mal fix ein paar Dutzend bis Hunderte pF drin. Und dort pfeifen die
> HF-Störungen locker drüber und es wird im Extremfall eher schlechter.
>
> Mein Vorschlag (schon vor langer Zeit) Bau einen normalen, kompensierten
> Eingangsspannungsteiler, OPV als Puffer dahinter, ggf. mit
> Bandbreitenbegrenzung zur Rauschminimierung und als Anti-Aliasingfilter,
> dann ein Single Ende ADC. Alles ganz normal galvanisch verbunden.

Deinen Vorschlag kenne ich, der war aber für ein ganz anderes Projekt. 
Das habe ich auch so gebaut. Hier ist es so, dass meine Platine auf der 
einen Seite mit einem PC zusammenhängt, also aus dem PC die 
Positionsinformation des Elektronenstrahls abgreift, und auf der anderen 
Seite ist es mit den Detektoren im Mikroskop verbunden. Ich möchte also 
ungerne die Masse vom PC auch als Masse für die ADCs verwenden. In der 
oben verlinkten Platine habe ich einen ADC schon galvanisch getrennt. 
Der funktioniert auch, ist aber nicht besser wie die anderen Kanäle, 
vermutlich eben wegen dem von Dir erwähnten DCDC Wandler.

Zum LTC2325 habe ich ebenfalls schon eine minimalistische Testplatine:
https://gus.tl/wp/?p=139 Da ist auch ein Schaltplan für Eagle. Im Grund 
wird das Eingangssignal nur mit einem RC-Filter tiefpassgefiltert und 
dann nach diferentiell gewandelt. Einen Spannungsteiler habe ich zwar 
vorgesehen aber nicht bestückt. 
https://gus.tl/wp/wp-content/uploads/2017/06/ltc2325_t.jpg

von Falk B. (falk)


Lesenswert?

@ Gustl Buheitel (-gb-)

>Da sieht man periodisches Rauschen, und das ist jetzt weg. Auf der
>Platine sitzen 12Bit ADCs, funktioniert wir gewünscht, eben 12 Bits.

Super! Wie hast du das gemacht?

>Das Rauschen hängt im Elektronenmiktoskop auch von der Elektronenquelle
>ab. Das ist Shot Noise. Bei schwachem Strahl sind es <10mV.

Ok, aber das kann der beste ADC nicht wegzaubern.

>Ok, welchen denn?

Keine Ahnung, da bin ich nicht up to date.

>Das habe ich auch so gebaut. Hier ist es so, dass meine Platine auf der
>einen Seite mit einem PC zusammenhängt, also aus dem PC die
>Positionsinformation des Elektronenstrahls abgreift, und auf der anderen
>Seite ist es mit den Detektoren im Mikroskop verbunden. Ich möchte also
>ungerne die Masse vom PC auch als Masse für die ADCs verwenden.

Warum nicht? Hast du das mal getestet und gemessen? Vorsicht bezüglich 
Masseschleifen und anderer Probleme ist ja schön und gut, aber es darf 
nicht in Paranoia ausarten.

>oben verlinkten Platine habe ich einen ADC schon galvanisch getrennt.
>Der funktioniert auch, ist aber nicht besser wie die anderen Kanäle,
>vermutlich eben wegen dem von Dir erwähnten DCDC Wandler.

AHA!!!

>wird das Eingangssignal nur mit einem RC-Filter tiefpassgefiltert und
>dann nach diferentiell gewandelt. Einen Spannungsteiler habe ich zwar
>vorgesehen aber nicht bestückt.

Na also, reicht doch.

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Super! Wie hast du das gemacht?

Nun, das periodische Rauschen kam nicht von mir, sondern einer Hardware 
die zwischen Transimpedanzverstärker und meiner Hardware saß. Da war 
noch eine Box mit Verstärkern die man manuel einstellen konnte und die 
hatte dieses Rauschen.

Falk B. schrieb:
> Ok, aber das kann der beste ADC nicht wegzaubern.

Das stimmt. Daher taste ich oft ab und mittele.

Falk B. schrieb:
> Warum nicht? Hast du das mal getestet und gemessen? Vorsicht bezüglich
> Masseschleifen und anderer Probleme ist ja schön und gut, aber es darf
> nicht in Paranoia ausarten.

Habe ich tatsächlich nicht. Hm ... ich habe bei den galvanisch 
getrennten Eingängen aber auch keine spürbaren Nachteile. Kostet etwas 
Platinenplatz und Layoutzeit ...

Falk B. schrieb:
> Na also, reicht doch.

Stimmt. Spannungsteiler muss noch hin weil der ADC nur 0 ... 4V kann. 
Also muss ich grob durch 3 teilen.

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)


>> Ok, aber das kann der beste ADC nicht wegzaubern.

>Das stimmt. Daher taste ich oft ab und mittele.

Das Löst das Problem nicht wirklich, es verschleiert es nur (naja, 
verschleiern liegt ja im Trend hust)

>> Warum nicht? Hast du das mal getestet und gemessen? Vorsicht bezüglich
>> Masseschleifen und anderer Probleme ist ja schön und gut, aber es darf
>> nicht in Paranoia ausarten.

>Habe ich tatsächlich nicht. Hm ... ich habe bei den galvanisch
>getrennten Eingängen aber auch keine spürbaren Nachteile. Kostet etwas
>Platinenplatz und Layoutzeit ...

Wenn das mal kein Irrtum ist. Vor allem wenn die Datenraten höher 
werden, muss man den Datenstrom über die Isolationsbarriere übertragen. 
Dazu gibt es diverse Optokoppler, ISOcoupler etc. Aber auch die kosten 
BEschaltungsaufwand und machen ggf EMV-Probleme (die ISOcoupler von 
Analog Devices arbeiten intern mit 180 MHz und sind da nicht so ganz 
harmlos).
Außerdem braucht man eine galvanisch getrennte Versorgung, meist per 
DC/DC Wandler. Die machen auch gern und viele Störungen bzw. Aufwand, 
dieser wieder zu filtern und dämpfen.

Die galvanische Trennung ist ein Fetisch, der vor allem von hier 
anwesenden Bastlern mit Halbwissen oder noch weniger aus purer Angst und 
Unwissen praktiziert wird.

>Stimmt. Spannungsteiler muss noch hin weil der ADC nur 0 ... 4V kann.
>Also muss ich grob durch 3 teilen.

Teile durch 4 und du hast noch etwas Reserve. Denn du willst deinen ADC 
nicht ständig haarscharf an der Aussteuergrenze betreiben. So um die 20% 
mehr sind OK.

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Die galvanische Trennung ist ein Fetisch, der vor allem von hier
> anwesenden Bastlern mit Halbwissen oder noch weniger aus purer Angst und
> Unwissen praktiziert wird.

OK, werde ich mit der vorhandenen Platine mal testen ob die galvanische 
Trennung wirklich Vorteile bringt. Werde das auch mal mit meiner LTC2325 
Platine ohne galvanische Trennung messen.

Falk B. schrieb:
> Teile durch 4 und du hast noch etwas Reserve. Denn du willst deinen ADC
> nicht ständig haarscharf an der Aussteuergrenze betreiben. So um die 20%
> mehr sind OK.

Richtig, bin aber nicht wirklich bei 12V, eher so bei 11V. Naja den 
Widerstand hat man auch schnell ausgetauscht. Bei 1MHz Analogbandbreite, 
könnte man da auch ein Poti verwenden oder ist das schlecht?
Und wenn das Signal (samt DC Offset) schwach ist, so dass ich es für den 
ADC nicht herunterteilen müsste, wie baut man das schaltbar?
Spannungsteiler mit FET nach Masse? FET sperrend ist dann die volle 
Sannung am ADC und FET leitend ist dann heruntergeteilt.

von Falk B. (falk)


Lesenswert?

@ Gustl Buheitel (-gb-)

>Widerstand hat man auch schnell ausgetauscht. Bei 1MHz Analogbandbreite,
>könnte man da auch ein Poti verwenden oder ist das schlecht?

Ja, das ist schlecht. Denn bei einem Poti ändert sich das 
Widerstandsverhältnis und damit die kapazitive Kompensation. Lass es 
sein.

>Und wenn das Signal (samt DC Offset) schwach ist, so dass ich es für den
>ADC nicht herunterteilen müsste, wie baut man das schaltbar?

Mit Relais, das machen auch Oszi so. Logischwerweise mit Relais, die 
Goldkontakte haben und für sowas gebaut sind. Also eher kleine, feine 
Relais. Im einfachsten Fall mit einem Wechsler, der zwischen dem 
Spannungsteilerabgriff und dem direkten Eingang umschaltet. Damit bleibt 
auch der Eingangswiderstand konstant, die Eingangskapazität nicht ganz.

>Spannungsteiler mit FET nach Masse? FET sperrend ist dann die volle
>Sannung am ADC und FET leitend ist dann heruntergeteilt.

Nein.

von ths (Gast)


Lesenswert?

Da hab ich mal einen ultimativen Tipp: Kauf dein Verstärkerzeug. Geht 
schneller, ist billiger, funktioniert und du weißt, was du hast.

Und denk mal über Verhältnissmäßigkeiten nach. Bei 12 Bit von Rauschen 
der Referenz oder des DAC zu sprechen,ist schlicht völlig daneben.

von Falk B. (falk)


Lesenswert?

@ ths (Gast)

>Da hab ich mal einen ultimativen Tipp: Kauf dein Verstärkerzeug. Geht
>schneller, ist billiger, funktioniert und du weißt, was du hast.

Mag sein, ist aber nicht Sinn und zweck der Übung. Der OP will was 
lernen, was ja heute nicht mehr so selbstverständlich ist 8-0

>Und denk mal über Verhältnissmäßigkeiten nach. Bei 12 Bit von Rauschen
>der Referenz oder des DAC zu sprechen,ist schlicht völlig daneben.

Mit einem schlechten Design können auch 8 Bit Rauschen wie Sau. Es ist 
NICHT selbstverständlich, daß 12 Bit rauscharm sind!

von Falk B. (falk)


Lesenswert?

Google oscilloscope input amplifier schematic

Da findet man sehr viele gute Informationen zum Thema. Da haben viele 
Leute schon sehr lange drüber nachgedacht.

von Falk B. (falk)


Lesenswert?


von ths (Gast)


Lesenswert?

@Falk

Rauschen der Referenz....nicht Rauschen des Signals, eh klar.

Ich bin sehr überrascht, dass man an einer Hochschule offensichtlich so 
schlecht betreut und unterstützt wird. Scheint auch niemand da zu sein, 
den man fragen kann. So speziell ist die Thematik nun auch wieder nicht.

Und erstmal die Anforderungen möglichst vollständig zu klären und sauber 
auf ein Blatt Papier zu schreiben, wird wohl auch nicht mehr vermittelt.

von Gustl B. (-gb-)


Lesenswert?

Erstmal vielen Dank Falk, das ist interessant auch wegen dem Offset DAC. 
Den werde ich aber weglassen, mal gucken.

Nun, an der Hochschule gibt es kein Etechnik. Das ist die Physik 
Fakultät und die brauchen eben auch spezielle Messgeräte. Die können sie 
sich teuer extern bauen lassen oder eben Studenten fragen. Ich stelle 
meine Arbeitszeit nicht in Rechnung. Studiert habe ich Lehramt 
Mathe/Physik.
Betreuen lassen wird schwer weil sich da auch kaum jemand auskennt. Wir 
haben eine Elektronikwerkstatt aber die macht sowas auch eher selten und 
repariert eher Geräte.

von Peter D. (peda)


Lesenswert?

Gustl B. schrieb:
> Hallo, ich will weiterhin ein unipolares Single-Ended Signal messen das
> im Bereich von 0 bis 12V liegt.

Dazu braucht es keine riesen aufwendigen Schaltungen.
Ich nehme gerne den MAX1300:
8 Eingänge 16Bit, 0..12,288V.
Wenn zu niederohmig, dann schaltet man nen OPV als Puffer davor.

von Falk B. (falk)


Lesenswert?

@ ths (Gast)

>Und erstmal die Anforderungen möglichst vollständig zu klären und sauber
>auf ein Blatt Papier zu schreiben, wird wohl auch nicht mehr vermittelt.

Scheint so. Man frickelt halt auf akademischem Niveau ;-)

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>Nun, an der Hochschule gibt es kein Etechnik. Das ist die Physik
>Fakultät und die brauchen eben auch spezielle Messgeräte. Die können sie
>sich teuer extern bauen lassen oder eben Studenten fragen.

Ob das Ergebnis vergleichbar ist?

>Ich stelle
>meine Arbeitszeit nicht in Rechnung. Studiert habe ich Lehramt
>Mathe/Physik.

>Betreuen lassen wird schwer weil sich da auch kaum jemand auskennt. Wir
>haben eine Elektronikwerkstatt aber die macht sowas auch eher selten und
>repariert eher Geräte.

Man braucht hier schon einen gestandenen Ingenieur mit den 
entsprechenden Kenntnissen und Erfahrung. Mit deinem fachlichen 
Hintergrund bist du trotzdem schon erstaunlich weit gekommen.

von Peter D. (peda)


Lesenswert?

Wie kommst Du eigentlich auf diesen komischen AD7356?
Der scheint ja einen speziellen differentiellen Treiber zu benötigen. 
Sowas ist mir bisher noch nie untergekommen. Ich würde sowas auch nicht 
freiwillig einsetzen. Der ist ja nichtmal preisgünstig.
Die meisten ADCs dürfen single ended getrieben werden, auch im 
differentiellen Mode.

von Gustl B. (-gb-)


Lesenswert?

Peter D. schrieb:
> Dazu braucht es keine riesen aufwendigen Schaltungen.
> Ich nehme gerne den MAX1300:
> 8 Eingänge 16Bit, 0..12,288V.
> Wenn zu niederohmig, dann schaltet man nen OPV als Puffer davor.

Der ist zu langsam. Ausserdem teilen sich alle 8 Eingänge einen ADC (mit 
MUX davor) wie man hier 
https://datasheets.maximintegrated.com/en/ds/MAX1300-MAX1301.pdf auf 
Seite 30 sehen kann. Ich brauche am Ende 12 Kanäle mit mindestens 2 
Msamples/s je Kanal.

Falk B. schrieb:
> Scheint so. Man frickelt halt auf akademischem Niveau ;-)

Eigentlich möchte ich ja gerade nicht frickeln, sonst würde ich ja auch 
nicht dauernd fragen.

Falk B. schrieb:
> Ob das Ergebnis vergleichbar ist?

Gute Frage. Ist halt viel Verschiedenes dabei an dem Projekt. 
Reverseengeneering von der Mikroskophardware damit ich überhaupt die 
Position des Elektronenstrahls abgreifen kann, dann Datenübertragung zum 
PC über USB, ich mache das mit einem FPGA und FT232H, dann die Software 
am die möglichst live das Bild plotten soll und eben auch der 
Analogteil. Drum herum ist dann noch Hardware die eben schon da ist und 
die mehr oder weniger gut ist. Ja, man hätte das auch rausgeben können. 
Derzeit wird eine Hardware von ADwin 
http://www.adwin.de/de/produkte/produkte.html verwendet, aber die tastet 
nur mit 100kSamples/s ab, das ist zu langsam.

Falk B. schrieb:
> Man braucht hier schon einen gestandenen Ingenieur mit den
> entsprechenden Kenntnissen und Erfahrung. Mit deinem fachlichen
> Hintergrund bist du trotzdem schon erstaunlich weit gekommen.

Vielen Dank! Für alles bis auf den Analogteil war das auch nicht weiter 
schwer, Digitalschaltungen sind ein wenig mein Hobby, aber 
Platinenlayout und Analogzeug mache ich selber erst seit gut zwei 
Jahren, da muss ich noch viel lernen, aber es macht Spaß.

Peter D. schrieb:
> Wie kommst Du eigentlich auf diesen komischen AD7356?
> Der scheint ja einen speziellen differentiellen Treiber zu benötigen.
> Sowas ist mir bisher noch nie untergekommen. Ich würde sowas auch nicht
> freiwillig einsetzen. Der ist ja nichtmal preisgünstig.
> Die meisten ADCs dürfen single ended getrieben werden, auch im
> differentiellen Mode.

Ja nun, der ist schnell, braucht wenige IOs am FPGA (weniger als zwei 
getrennte Steine mit SPI) und man kann ihn gut von Hand löten. Bei den 
Abtastraten finde ich nur welche mit differenziellem Eingang, das ist 
auch nicht weiter schwierig, mit zwei OPVs macht man eben das Unipolar 
nach dfferentiell.

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
>>Spannungsteiler mit FET nach Masse? FET sperrend ist dann die volle
>>Sannung am ADC und FET leitend ist dann heruntergeteilt.
>
> Nein.

Dazu jetzt noch eine Nachfrage:

Es gibt massig Signalrelais, wonach sollte ich gucken? Hier das 
http://www.farnell.com/datasheets/1748195.pdf kann nur ein oder aus 
schalten, das hier http://www.farnell.com/datasheets/1922668.pdf kann 
schon zwischen zwei Positionen umschalten. Dann gibt es noch Latching 
(Spule muss nur beim Schalten vom Strom durchflossen werden) und nicht 
Latching was sollte man da nehmen? Und auch beim Widerstand des 
Schalters selbst bin ich etwas ratlos. Wie genau ist da der Widerstand? 
Also wenn ich das Relais oft schalte will ich, dass das jedes Mal 
möglichst der gleiche Widerstand ist für das Signal. Bei einem Oszi mit 
8 Bit ist das einigermaßen egal, aber hier soll es doch genau sein, also 
nicht einmal 1 Ohm und ein anderes Mal 2 Ohm oder 0,5 Ohm. Wie sieht es 
mit so MOSFET Schaltern aus, sind die auch geeignet?

Danke!

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>Es gibt massig Signalrelais, wonach sollte ich gucken?

Ob es dir gefällt. Bei 1 MHz kann man nicht sooo viel falsch machen.

>schalten, das hier http://www.farnell.com/datasheets/1922668.pdf kann
>schon zwischen zwei Positionen umschalten.

Du brauchst einen Wechslerkontakt.

> Dann gibt es noch Latching
>(Spule muss nur beim Schalten vom Strom durchflossen werden) und nicht
>Latching was sollte man da nehmen?

Was dir gefällt. Wenn dein gerät mit einem Netzzeit betrieben wird, kann 
man ein normales nehmen. Wenn man unbedingt Strom sparen will, eins mit 
latching.

> Und auch beim Widerstand des
>Schalters selbst bin ich etwas ratlos. Wie genau ist da der Widerstand?

ein paar Dutzend mOhm. Selbst wenn es Ohm wären, es liegt in Reiche zum 
Eingangspuffer, der hat Megaohm. Spielt als keine Rolle.

>Also wenn ich das Relais oft schalte will ich, dass das jedes Mal
>möglichst der gleiche Widerstand ist für das Signal.

Für sowas nimmt man eins mit Goldkontakten.

> Bei einem Oszi mit
>8 Bit ist das einigermaßen egal, aber hier soll es doch genau sein, also
>nicht einmal 1 Ohm und ein anderes Mal 2 Ohm oder 0,5 Ohm. Wie sieht es
>mit so MOSFET Schaltern aus, sind die auch geeignet?

Im Prinzip ja. Wenn aber die Profis dafür auch Relais nehmen, sollte das 
ein Hinweis sein . . .

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
>>schalten, das hier http://www.farnell.com/datasheets/1922668.pdf kann
>>schon zwischen zwei Positionen umschalten.
>
> Du brauchst einen Wechslerkontakt.

Also so wie in dem verlinkten PDF, die Pins 3 und 6.

Falk B. schrieb:
> ein paar Dutzend mOhm. Selbst wenn es Ohm wären, es liegt in Reiche zum
> Eingangspuffer, der hat Megaohm. Spielt als keine Rolle.

Alles klar.

Falk B. schrieb:
> Im Prinzip ja. Wenn aber die Profis dafür auch Relais nehmen, sollte das
> ein Hinweis sein . . .

Ja, die Profis nehmen das bei Oszis, aber ich habe bisher sonst kaum 
Messkarten gesehen. Die an der Uni von ADwin mit 16 Bit haben keine 
Relais drauf. Da ist dieser ADC verbaut. 
http://www.ti.com/lit/ds/symlink/ads8681.pdf Der ist auch sehr schick, 
nur eben zu langsam.

Das ist so, die Probe hat eben eine Oberfläche die unterschiedliche 
Spannungen erzeugt am Detektor je nachdem welcher Punkt (Pixel) gerade 
mit dem Elektronenstrahl beleuchtet wird. Und da ist dieses Shot Noise 
drauf. Also wir wollen die Heligkeit jedes Pixels möglichst gut 
erfassen. Jetzt könnte man das Eingangssignal stark tiefpass filtern und 
langsam abtasten. Da ist dann das Problem mit der Helligkeitsänderung 
von einem Pixel zum Nächsten, das wird dann eben auch verschliffen. 
Daher ist der Plan schnell abzutasten, also an jeder Pixelposition z. B. 
16 Mal und diese Werte dann zu mitteln. Dann ist da keine Information 
des vorherigen Pixels mit dabei und man hat das Rauschen auf dem 
aktuellen Pixel einigermaßen rausgemittelt. Ich weiß nicht ob der Ansatz 
gut ist, es gäbe noch gated Integrator, aber die sind auch zu langsam. 
Wir wollen ein Bild mit 4k * 4k Bildpunkten ja auch zügig aufnehmen, so 
bis 10 us/Pixel sind da vertretbare Zeiten für eine saubere Aufnahme. 
Bei 5MSps sind das schon 50 Samples, da kann man gut mitteln.

von Peter D. (peda)


Lesenswert?

Gustl B. schrieb:
> Das Signal kann als Gleichspannung
> betrachtet werden.

Gustl B. schrieb:
> Der ist zu langsam.

Zu langsam für Gleichspannung?

von Falk B. (falk)


Lesenswert?

@ Gustl Buheitel (-gb-)

>Das ist so, die Probe hat eben eine Oberfläche die unterschiedliche
>Spannungen erzeugt am Detektor je nachdem welcher Punkt (Pixel) gerade
>mit dem Elektronenstrahl beleuchtet wird. Und da ist dieses Shot Noise
>drauf.

Was meinst du damit GENAU?

> Also wir wollen die Heligkeit jedes Pixels möglichst gut
>erfassen. Jetzt könnte man das Eingangssignal stark tiefpass filtern und
>langsam abtasten. Da ist dann das Problem mit der Helligkeitsänderung
>von einem Pixel zum Nächsten, das wird dann eben auch verschliffen.
>Daher ist der Plan schnell abzutasten, also an jeder Pixelposition z. B.
>16 Mal und diese Werte dann zu mitteln.

Das ist das Gleiche wie ein Tiefpaß.

> Dann ist da keine Information
>des vorherigen Pixels mit dabei und man hat das Rauschen auf dem
>aktuellen Pixel einigermaßen rausgemittelt. Ich weiß nicht ob der Ansatz
>gut ist, es gäbe noch gated Integrator, aber die sind auch zu langsam.
>Wir wollen ein Bild mit 4k * 4k Bildpunkten ja auch zügig aufnehmen, so
>bis 10 us/Pixel sind da vertretbare Zeiten für eine saubere Aufnahme.
>Bei 5MSps sind das schon 50 Samples, da kann man gut mitteln.

Schön, aber auch das ist ein Tiefpaß, selbst wenn man das "intelligent" 
an die Bewegung des Elektronenstrahls anpaßt. Es ist vielleicht 
flexibler als ein Hardware-Tiefpaß.

Man sollte erstmal das Thema Shot Noise genauer beleuchten und 
versuchen, das an der Quelle zu bekämpfen. Tiefpaßfiltern allein ist 
nicht so sonderlich clever.

von Falk B. (falk)


Lesenswert?

@ Peter Dannegger (peda)

>Gustl B. schrieb:
>> Das Signal kann als Gleichspannung
>> betrachtet werden.

Kann es nicht, denn es ist ein Scanner, der ein Objekt zeilenweise 
abtastet. Der Übergang von einem Pixel zum nächten muss schnell 
erfolgen, da ist nix mit Gleichspannung.

>> Der ist zu langsam.

>Zu langsam für Gleichspannung?

Es ist keine.

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Das ist das Gleiche wie ein Tiefpaß.

Falk B. schrieb:
> Schön, aber auch das ist ein Tiefpaß, selbst wenn man das "intelligent"
> an die Bewegung des Elektronenstrahls anpaßt. Es ist vielleicht
> flexibler als ein Hardware-Tiefpaß.

Ja, eine Art Tiefpass, aber gibt es wirklich keinen Unterschied ob ich 
einen gleitenden Mittelwert rechne oder einen Mittelwert über alle 
Samples die zu einem Pixel gehören? Im Gleitenden Mittelwert steckt doch 
dann auch Information vom vorherigen Pixel drinnen?!

Falk B. schrieb:
> Man sollte erstmal das Thema Shot Noise genauer beleuchten und
> versuchen, das an der Quelle zu bekämpfen. Tiefpaßfiltern allein ist
> nicht so sonderlich clever.

Naja das ist eine Elektronenkanone. Die hat eben gaußverteiltes 
Rauschen. Aber weil das so schön verteilt ist kann man das eben auch gut 
rausmitteln.

Ja, sorry für das mit der Gleichspannung, das hatte ich geschrieben weil 
hätte ich nur Signal geschrieben dann wäre der Vorschlag mit AC Kopplung 
gekommen.

Also, habe gerade mal meine LTC2325 Testplatine etwas genauer getestet. 
Mit einem Kurzschluss an der SMA Buchse messe ich Spannungswerte sie 
sich zwischen 12 und 14 ADC Stufen unterscheiden. Eine Stufe sind hier 
bei Maximal 4,096V (habe auf der Platine keinen Spannungsteiler) und 
16Bit 0,0625 mV. 14 solche Stufen sind also 0,875 mV.
Also man kann sagen, dass auf jeden Fall die letzten 3 Bits rauschen. 
Also würden eigentlich auch ein 12 oder 14 Bit ADC reichen. Hm ... das 
ist jetzt ohne galvanische Trennung und am ganz normalen Steckernetzteil 
aka. Wandwarze (5V). Ob ich damit zufrieden sein kann weiß ich jetzt 
aber auch nicht^^

von Falk B. (falk)


Lesenswert?

@ Gustl Buheitel (-gb-)


>Ja, eine Art Tiefpass, aber gibt es wirklich keinen Unterschied ob ich
>einen gleitenden Mittelwert rechne oder einen Mittelwert über alle
>Samples die zu einem Pixel gehören?

Da ist schon ein Unterschied.

> Im Gleitenden Mittelwert steckt doch
>dann auch Information vom vorherigen Pixel drinnen?!

Ja. Aber dann musst du auch sicherstellen, daß du den Übergang zwischen 
den Pixeln ausblendest. Naja. Alles in allen nicht so ganz das Wahre.
Wer direkte Ansatz wäre, den Tiepfaß auf der Analogseite so zu 
gestalten, daß nach einer Meßzeit für einen Pixel dieser gerade 
eingeschwungen ist. Dann wird EINMAL gemessen. Das ist die minimale 
Bandbreite, mit der auch höherfrequentes Rauschen recht gut gedämpft 
wird.

>Naja das ist eine Elektronenkanone. Die hat eben gaußverteiltes
>Rauschen. Aber weil das so schön verteilt ist kann man das eben auch gut
>rausmitteln.

Was aber Zeit kostet.

>Ja, sorry für das mit der Gleichspannung, das hatte ich geschrieben weil
>hätte ich nur Signal geschrieben dann wäre der Vorschlag mit AC Kopplung
>gekommen.

Dann sagt man, man braucht 0-1MHz.

>Also, habe gerade mal meine LTC2325 Testplatine etwas genauer getestet.
>Mit einem Kurzschluss an der SMA Buchse messe ich Spannungswerte sie
>sich zwischen 12 und 14 ADC Stufen unterscheiden.

Die Stufen nennt man LSBs.

> Eine Stufe sind hier
>bei Maximal 4,096V (habe auf der Platine keinen Spannungsteiler) und
>16Bit 0,0625 mV. 14 solche Stufen sind also 0,875 mV.
>Also man kann sagen, dass auf jeden Fall die letzten 3 Bits rauschen.

Naja, ein nahezu rauschfreien 16 Bit ADC findet man nur ganz selten. 
Dann muss wirklich ALLES stimmen, incl. der Mondphase!

>Also würden eigentlich auch ein 12 oder 14 Bit ADC reichen. Hm ... das
>ist jetzt ohne galvanische Trennung und am ganz normalen Steckernetzteil
>aka. Wandwarze (5V).

Ohje, die wird schön Dreck spucken. Speis das Ding mal mit einer 
Batterie.

> Ob ich damit zufrieden sein kann weiß ich jetzt
>aber auch nicht^^

Klingt so, als ob du prinzipiell nie zufrieden sein kannst, weil du den 
Stein der Weisen nicht gefunden hast. Mein Beileid.

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Ja. Aber dann musst du auch sicherstellen, daß du den Übergang zwischen
> den Pixeln ausblendest. Naja. Alles in allen nicht so ganz das Wahre.
> Wer direkte Ansatz wäre, den Tiepfaß auf der Analogseite so zu
> gestalten, daß nach einer Meßzeit für einen Pixel dieser gerade
> eingeschwungen ist. Dann wird EINMAL gemessen. Das ist die minimale
> Bandbreite, mit der auch höherfrequentes Rauschen recht gut gedämpft
> wird.

Klar, das ist der Plan. Ich bekomme ja digital aus dem Rechner vom 
Mikroskop die Position des Pixels un h und v. Wenn sich die ändert sehe 
ich und kann das erste Sample danach wegwerfen.

Falk B. schrieb:
> Wer direkte Ansatz wäre, den Tiepfaß auf der Analogseite so zu
> gestalten, daß nach einer Meßzeit für einen Pixel dieser gerade
> eingeschwungen ist. Dann wird EINMAL gemessen. Das ist die minimale
> Bandbreite, mit der auch höherfrequentes Rauschen recht gut gedämpft
> wird.

Richtig, aber was wenn man mit unterschiedlicher Scanzeit scannen 
möchte? Also mal mit 1 us/Pixel und wann anders mit 10 us/Pixel? Das 
macht man nicht nur weil man mehr oder weniger Zeit hat schnell in den 
Feierabend möchte, sonder auch weil man manchmal Reaktionen auf der 
Probe ablaufen, hier werden z. B. so lokale magnetische Effekte, so 
Wirbel beobachtet.
Die http://www-elektronenmikroskopie.uni-r.de/index.html machen cooles 
Zeug dort ... (ich bin nicht auf dem Bild).

Falk B. schrieb:
> Was aber Zeit kostet.

Genau, tut es, wenn man schnell scannt sind es eben weniger Mittelungen. 
Aber trotzdem bekommt man bei jeder Scangeschwindigkeit Samples die 
Information nur vom gescannten Pixel enthalten.

Falk B. schrieb:
> Dann sagt man, man braucht 0-1MHz.

OK, stimmt.

Falk B. schrieb:
> Die Stufen nennt man LSBs.

Ist mir klar.

Falk B. schrieb:
> Naja, ein nahezu rauschfreien 16 Bit ADC findet man nur ganz selten.
> Dann muss wirklich ALLES stimmen, incl. der Mondphase!

OK.

Falk B. schrieb:
> Ohje, die wird schön Dreck spucken. Speis das Ding mal mit einer
> Batterie.

Könnte ich machen ... das USB zum Rechner bleibt aber trotzdem dran.

Falk B. schrieb:
> Klingt so, als ob du prinzipiell nie zufrieden sein kannst, weil du den
> Stein der Weisen nicht gefunden hast. Mein Beileid.

Ist halt schwer einzuschätzen als Anfänger ob das jetzt so schon 
ziemlich OK ist, oder ob es noch sehrviel besser seien könnte und man 
noch grobe Schnitzer drinnen hat.

Im LTC2325 Datenblatt wird auch was von externer Referenz geschrieben. 
Da werden dann aber Referenzen erwähnt 
http://cds.linear.com/docs/en/datasheet/6655ff.pdf mit genau den 
gleichen Spannungen wie die interne Referenz. Wieso macht LTC das? 
Sollte man also externe benutzen wenn man das Beste rausholen möchte? 
Zur Wandlung von Single Ended nach Differentiell wird im Datenblatt der 
http://cds.linear.com/docs/en/datasheet/18189fb.pdf empfohlen. 400 MHz 
GBP und 2500V/us Slew Rate. Ich habe ADA4896-2 
http://www.analog.com/media/en/technical-documentation/data-sheets/ADA4896-2_4897-1_4897-2.PDF 
verwendet, ist das jetzt schlecht oder egal? Ich kann das einfach nicht 
einschätzen, bin aber bisher doch recht zufrieden dass es zumindest 
funktioniert.

Edit:
5V Batterie habe ich nicht und die Platine braucht leider 5V. Aber das 
ist natürlich auch eine Frage:
Ich brauche 5V für den Analogteil. Für das FPGA und den USB Stein 
brauche ich 3,3V und der Digitalteil vom ADC bekommt 2,5V. Jetzt habe 
ich diese Platine so gebaut, dass sie mit 5V versorgt wird und daraus 
dann alles erzeugt wird. Die 5V werden für den Analogteil also nur 
gefiltert. Passt das vom Prinzip her oder sollte ich lieber z. B. mit 
12V versorgen und daraus dann selber alle Spannungen (also auch die 5V 
für den Analogteil) erzeugen? Das würde ich aber natürlich auch nur mit 
einem LDO machen, also der Eingang auf meine Platine wird gefiltert und 
der Ausgang vom LDO dann nochmal. Aber mir ist auch nicht klar wie 
empfindlich die OPVs und der ADC auf kleine Schwankungen in der 
Versorgung reagieren. Also wenn das Signal am OPV 0 ... 4,096V sind und 
ich den OPV mit -5V und 5V versorge, dann schlägt das Signal weder oben 
noch unten an, auch wenn die Versorgung um einige mV schwankt.

Edit2:
Wenn ich es aus einem Labornetzteil versorge ändert sich nichts.
Es gibt von LTC auch ein Demoboard http://www.linear.com/solutions/7948 
, da ist alles Möglichs drauf, also diese externen Referenzen, die 
anderen OPVs, ... wenn ich mir den Schaltplan 
http://cds.linear.com/docs/en/demo-board-schematic/DC2395ASCH.PDF 
angucke ist das aber AC gekoppelt. Daher habe ich mich für DC an die 
Schaltung im Datenblatt gehalten.

Edit3:
Das DC Histogramm im Datenblatt zeigt auch 8 Balken, also 3 rauschende 
Bits. Gut, bei mir ist das etwas mehr, aber dann bin ich mit meinen 
12-14 Balken zufrieden. Ich war nur verwirrt, weil bei den 12 Bit ADCs 
ist das DC Histogramm im Datenblatt meist nur ein oder zwei Balken. Da 
wären meine 12-14 schon sehr viel schlechter.

: Bearbeitet durch User
von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>Richtig, aber was wenn man mit unterschiedlicher Scanzeit scannen
>möchte? Also mal mit 1 us/Pixel und wann anders mit 10 us/Pixel?

Dann wäre die digitale Variante deutlich besser ;-)

>gleichen Spannungen wie die interne Referenz. Wieso macht LTC das?

Weil sie Optionen anbieten wollen.

>Sollte man also externe benutzen wenn man das Beste rausholen möchte?

Keine Ahnung.

>Zur Wandlung von Single Ended nach Differentiell wird im Datenblatt der
>http://cds.linear.com/docs/en/datasheet/18189fb.pdf empfohlen. 400 MHz
>GBP und 2500V/us Slew Rate. Ich habe ADA4896-2
>http://www.analog.com/media/en/technical-documenta...

Uuups. Den muss man erstmal beherrschen.

>verwendet, ist das jetzt schlecht oder egal?

Keine Ahnung.

> Ich kann das einfach nicht
>einschätzen, bin aber bisher doch recht zufrieden dass es zumindest
>funktioniert.

>Ich brauche 5V für den Analogteil. Für das FPGA und den USB Stein
>brauche ich 3,3V und der Digitalteil vom ADC bekommt 2,5V. Jetzt habe
>ich diese Platine so gebaut, dass sie mit 5V versorgt wird und daraus
>dann alles erzeugt wird. Die 5V werden für den Analogteil also nur
>gefiltert.

Wenn der Filter halbwegs was taugt ist das OK.

> Passt das vom Prinzip her oder sollte ich lieber z. B. mit
>12V versorgen und daraus dann selber alle Spannungen (also auch die 5V
>für den Analogteil) erzeugen?

Das ist auch nicht viel anders. Ein Spannungsregler ist auch kein 
magischer Spannungsreiniger. Besonders HF läßt der meist genauso durch 
wie ein schlechter Filter.

> Das würde ich aber natürlich auch nur mit
>einem LDO machen, also der Eingang auf meine Platine wird gefiltert und
>der Ausgang vom LDO dann nochmal. Aber mir ist auch nicht klar wie
>empfindlich die OPVs und der ADC auf kleine Schwankungen in der
>Versorgung reagieren.

Das kann man zwar abschätzen (PSSR, power supply reject ratio), am Ende 
muss man es aber messen, wenn man es genau wissen will. Z.B. wie du es 
schon gemacht hast, Eigenrauschen beim Kurzschluß am Eingang.

> Also wenn das Signal am OPV 0 ... 4,096V sind und
>ich den OPV mit -5V und 5V versorge, dann schlägt das Signal weder oben
>noch unten an, auch wenn die Versorgung um einige mV schwankt.

Gut.

>Das DC Histogramm im Datenblatt zeigt auch 8 Balken, also 3 rauschende
>Bits.

Das ist der Idealfall, von den Vollprofis im Labor liebevoll 
hingezaubert.

> Gut, bei mir ist das etwas mehr, aber dann bin ich mit meinen
>12-14 Balken zufrieden.

Das solltest du auch sein, denn das ist schon ziemlich gut!

> Ich war nur verwirrt, weil bei den 12 Bit ADCs
>ist das DC Histogramm im Datenblatt meist nur ein oder zwei Balken. Da
>wären meine 12-14 schon sehr viel schlechter.

Also doch lieber "nur" einen 12 Bit Wandler nehmen?

: Bearbeitet durch User
von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

Falk B. schrieb:
> Dann wäre die digitale Variante deutlich besser ;-)

Falk B. schrieb:
> Weil sie Optionen anbieten wollen.

Verstanden. Und diese externe Referenz hat eine sehr geringe 
Temperaturdrift, also bei manchen Anwendungsfällen ist das dann besser. 
Das Mikroskop steht aber bei einigermaßen konstanter Temperatur.

Falk B. schrieb:
>>Zur Wandlung von Single Ended nach Differentiell wird im Datenblatt der
>>http://cds.linear.com/docs/en/datasheet/18189fb.pdf empfohlen. 400 MHz
>>GBP und 2500V/us Slew Rate. Ich habe ADA4896-2
>>http://www.analog.com/media/en/technical-documenta...
>
> Uuups. Den muss man erstmal beherrschen.

Du meinst den LT1819? Was bedeutet beherrschen in Bezug auf einen OPV? 
Mir ist klar, dass in Datenblättern oft nur Prinzipschaltungen aufgemalt 
sind, aber genau so habe ich das mit dem ADA4896-2 aufgebaut und es 
funktioniert.

Falk B. schrieb:
> Wenn der Filter halbwegs was taugt ist das OK.

Wie man Versorgungen gut filtert weiß ich noch nicht wirklich. Ich 
verwende da Ferrit mit großem Widerstand @ 100MHz (also möglichst großer 
AC Widerstand und trozdem ausreichend niedriger DC Widerstand) und ein 
großes C. Hier sind es ein Ferrit mit 1kOhm @ 100MHz und 200mA und ein 
100nF und 100uF C nach Masse dahinter. Hab mal ein Bildchen gemacht. Da 
muss ich zugeben, dass ich mich wirklich nicht auskenne.

Falk B. schrieb:
> Das ist der Idealfall, von den Vollprofis im Labor liebevoll
> hingezaubert.

Eigentlich schade, dass nicht dabei steht wie die Werte erreicht wurden. 
Also ob mit externer oder interner Referenz, ob im 5V oder im 2,5V 
Modus, ...

Falk B. schrieb:
> Das solltest du auch sein, denn das ist schon ziemlich gut!

Danke! Dann werde ich das grob so lassen. Schaltbarer Spannungsteiler 
kommt noch drauf und vermutlich andere Stromversorgung und eventuell 
galvanische Trennung, aber da muss ich erst nachmessen ob die was 
gebracht hat auf meiner Testplatine.

Falk B. schrieb:
> Also doch lieber "nur" einen 12 Bit Wandler nehmen?

Hm, also besser wie 12 Bit ist das mit den 16 Bits jetzt schon. Mit den 
12 Bit ADCs habe ich im Histogramm so 2-3 Balken, also auch ein LSB 
Rauschen beim Kurzschluss. Gut, das waren andere ADCs und nicht die 12 
Bit Variante vom LTC2325 ... ne, ich nehme da den 16 Bit Stein, das wird 
ja keine Serienfertigung bei der jeder Cent zählt.

von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

Und noch ein paar Fragen zu Relais:

Hier mal im Anhang zwei Zeichnungen aus Datenblättern
http://www.farnell.com/datasheets/1683361.pdf Seite 11 Mitte
http://www.farnell.com/datasheets/1922668.pdf Seite 2 Unten


1. Bei dem Bildchen Relais1, da ist links das Single side stable. 
Bedeutet das, dass die Spule nur dann stromdurchflossen sein muss, wenn 
ich Pin 3 und 5 und Pin 8 und 7 verbunden haben möchte? Stromlos sind 
automatisch 2 und 3 und 9 und 8 verbunden?
Dann beim 1-coil latching, wann muss da Stom fließen? Muss ich da auch 
die Richtung vom Strom umkehren können?
2-coil latching, da sind jetzt zwei Spulen gezeichnet, sind die schon im 
Gehäuse des Relais drinnen oder muss ich die extern noch bestücken? Muss 
man hier die Stromrichtung umkehren können?

2. Beim Bildchen Relais2, links ist wie im 1. Bild, also 
stromdurchflossen wenn 3 und 4 und 6 und 5 verbunden werden sollen, 
sonst stromlos.
In der Zeichnung daneben muss man die Stromrichtung umkehren laut + - 
Beschriftung. Was bedeutet da set und reset? Also vermutlich ein 
Strompuls in set-Richtung um 3 und 4 und 6 und 5 zu verbinden und ein 
Strompuls in reset-Richtung um den Ausgangszustand wiederherzustellen.

3. Wie macht man das typischerweise, dass man die Stromrichtung umkehrt? 
Eine Seite der Spule auf GND legen und dann mit ein paar FETs mal 
+Supply und mal -Supply durchschalten?

4. Das Relais sitzt im Analogteil der Schaltung. Zum Steuern der 
Schaltströme brauche ich sowieso digitale Signale, ich wollte da jedem 
ADC noch ein ein 8 Bit Schieberegister spendieren und dann von den 8 
Bits bei 4 ADC Knälen zwei Bits je Kanal für Relais zu haben. Also um 
FETs zu schalten. Das würde ich mit der digitalen Versorgung machen und 
das Schieberegister im Digitalteil layouten. Aber das Relais benötigt ja 
Strom für die Spule, verwendet man dann da die analoge Versorgung oder 
legt man sich da noch eine extra Versorgung hin? Dass ich die ADC Werte 
beim Schalten vergessen kann ich klar, da sind Störungen also egal, aber 
bei einem Monostable fließt ja auch dauerhaft Strom. Gefühlsmäßig würde 
ich die analoge Versorgung nehmen weil sie schon dort ist.

5. Diese Relais haben ja meist zwei Schalter in einem Gehäuse, ich 
benötige aber nur einen. Ist es sinnvoll dann beide Schalter redundant 
zu beschalten damit einer ausfallen kann? Oder gibt es einen 
Schaltungstrick wie man es dann mit einem sochen Relaisbaustein schaffen 
kann zwischen 3 Positionen umzuschalten?

Vielen Dank!

Edit:
https://www.electronicspoint.com/attachments/tq2-l2-5v-used-with-12v-jpg.5262

Sehr fein, dann reichen mir also 2 IOs und FETs um sowas zu schalten. 
Dann habe ich auch keinen dauerhaften Stromfluss, das ist gut. Habe 
jetzt erste erkannt, dass die Farbe der Spule in den Zeichnungen zu den 
Pins passt.

Oh und gibt es eigentlich so Signalschalter die zwischen mehr als 2 
Positionen umschalten können?

: Bearbeitet durch User
von ths (Gast)


Lesenswert?

Signalschalter für mehrere Ein/Ausgänge findest du unter der Bezeichnung 
"Multiplexer".

Zum Thema Relais als Signalschalter: Wenn du sehr kleine DC-Spannungen 
sehen möchtest, gibt es einen hässlichen Effekt: Die zum Umschalten 
aufgebrachte kinetische Energie wird im Schaltkontakt zu Wärmeenergie 
umgesetzt, was zu Thermospannungen führt, die über die Zeit abgebaut 
werden.

von Gustl B. (-gb-)


Lesenswert?

Multiplexer finde ich nur als IC nicht als Mechanik. Was ist denn 
geeigneter? Ist das mit der Erwärmung wirklich ein Problem? Das ist ja 
nicht unverbunden so dass sich da eine Spannung aufbauen kann sondern da 
hängt eine Quelle dran. Ich denke das kann man vernachlässigen. Nach dem 
Schalten würde ich sowieso etwas warten, also man stellt das ein und 
einige Sekunden oder so später misst man dann ordentlich.

von ths (Gast)


Lesenswert?

Hatte ich missverstanden. Erwärmung ist ein Problem, wenn du in der 
bedauerlichen Lage bist, dich für Mikrovolt interessieren zu müssen.

von Arc N. (arc)


Lesenswert?

Gustl B. schrieb:
> Also man kann sagen, dass auf jeden Fall die letzten 3 Bits rauschen.
...
> Also würden eigentlich auch ein 12 oder 14 Bit ADC reichen. Hm ... das
> ist jetzt ohne galvanische Trennung und am ganz normalen Steckernetzteil
> aka. Wandwarze (5V). Ob ich damit zufrieden sein kann weiß ich jetzt
> aber auch nicht^^

Da reicht ein Blick auf die Seite des ADCs:
82 dB SNR bei 2.2 MHz fIn
ENOB = (82 dB - 1.76 dB) / 6.02 dB = 13.3 Bits
Sieht man noch ins DB, findet sich, dass das SNR konstant ist (1 khZ - 
2.2 MHz) und das SINAD leicht fällt (zw. 0.75 MHz und 2.2 MHz um 0.1 dB 
von 81.8 dB auf 81.7 dB)

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>> Uuups. Den muss man erstmal beherrschen.

>Du meinst den LT1819?

Ja.

> Was bedeutet beherrschen in Bezug auf einen OPV?

Ein derartig schneller OPV ist meist sehr giftig und braucht ein gutes 
Layout und optimale Entkoppelkondensatoren, sonst schwingt das Ding oder 
macht andere komische Dinge.

>Wie man Versorgungen gut filtert weiß ich noch nicht wirklich. Ich
>verwende da Ferrit mit großem Widerstand @ 100MHz (also möglichst großer
>AC Widerstand und trozdem ausreichend niedriger DC Widerstand) und ein
>großes C. Hier sind es ein Ferrit mit 1kOhm @ 100MHz und 200mA und ein
>100nF und 100uF C nach Masse dahinter. Hab mal ein Bildchen gemacht. Da
>muss ich zugeben, dass ich mich wirklich nicht auskenne.

Naja, das reicht nicht. Ferrite sind bei wenigen MHz recht unwirksam, 
weil eben die Induktivität bzw. der Verlustwiderstand dort noch recht 
niedrig sind. Dafür braucht man echte Induktivitäten. Also einen guten 
LC-Filter. Der darf aber nicht zuviel Güte haben, sonst schwingt der zu 
sehr beim Einschalten.

>Bit Variante vom LTC2325 ... ne, ich nehme da den 16 Bit Stein, das wird
>ja keine Serienfertigung bei der jeder Cent zählt.

Klingt sinnvoll.

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>http://www.farnell.com/datasheets/1683361.pdf Seite 11 Mitte
>http://www.farnell.com/datasheets/1922668.pdf Seite 2 Unten


>1. Bei dem Bildchen Relais1, da ist links das Single side stable.
>Bedeutet das, dass die Spule nur dann stromdurchflossen sein muss, wenn
>ich Pin 3 und 5 und Pin 8 und 7 verbunden haben möchte? Stromlos sind
>automatisch 2 und 3 und 9 und 8 verbunden?

Ja. Das ist das Normalrelais, wie man es kennt.

>Dann beim 1-coil latching, wann muss da Stom fließen?

Wenn man die Kontaktstellung ändern will.

Kurzer Puls in set oder reset Polarität schaltet den entsprechenden 
Zustand.

> Muss ich da auch
>die Richtung vom Strom umkehren können?

Ja.

>2-coil latching, da sind jetzt zwei Spulen gezeichnet, sind die schon im
>Gehäuse des Relais drinnen

Ja.

> oder muss ich die extern noch bestücken?

Nein.

> Muss man hier die Stromrichtung umkehren können?

Nein, hier wird jeweils eine Spule mit der immer gleichen Polarität 
angesteuert, um set und reset-Position zu schalten.

>2. Beim Bildchen Relais2, links ist wie im 1. Bild, also
>stromdurchflossen wenn 3 und 4 und 6 und 5 verbunden werden sollen,
>sonst stromlos.

Ja.

>In der Zeichnung daneben muss man die Stromrichtung umkehren laut + -
>Beschriftung. Was bedeutet da set und reset?

Die beiden Schaltstellungen.

reset : Schaltstellung wie im Bild
set: Schaltstellung am anderen Kontakt

> Also vermutlich ein
>Strompuls in set-Richtung um 3 und 4 und 6 und 5 zu verbinden und ein
>Strompuls in reset-Richtung um den Ausgangszustand wiederherzustellen.

Ja.


>3. Wie macht man das typischerweise, dass man die Stromrichtung umkehrt?
>Eine Seite der Spule auf GND legen und dann mit ein paar FETs mal
>+Supply und mal -Supply durchschalten?

Nein. entweder mit einer kleinen H-Brücke oder mit einem 
Serienkondensator.
Eine negative Betriebsspannung wird nur sehr selten verwendet.

>4. Das Relais sitzt im Analogteil der Schaltung. Zum Steuern der
>Schaltströme brauche ich sowieso digitale Signale, ich wollte da jedem
>ADC noch ein ein 8 Bit Schieberegister spendieren und dann von den 8
>Bits bei 4 ADC Knälen zwei Bits je Kanal für Relais zu haben. Also um
>FETs zu schalten. Das würde ich mit der digitalen Versorgung machen und
>das Schieberegister im Digitalteil layouten. Aber das Relais benötigt ja
>Strom für die Spule, verwendet man dann da die analoge Versorgung

Naja, für extrem sensible Schaltungen kann man da eine extra Versorgung 
einführen oder die analoge nehmen, in den meisten Fällen geht auch die 
digitale.

>bei einem Monostable fließt ja auch dauerhaft Strom. Gefühlsmäßig würde
>ich die analoge Versorgung nehmen weil sie schon dort ist.

Dann nimm sie.

>5. Diese Relais haben ja meist zwei Schalter in einem Gehäuse, ich
>benötige aber nur einen. Ist es sinnvoll dann beide Schalter redundant
>zu beschalten damit einer ausfallen kann?

Nein.

> Oder gibt es einen
>Schaltungstrick wie man es dann mit einem sochen Relaisbaustein schaffen
>kann zwischen 3 Positionen umzuschalten?

Eher nicht.

>Oh und gibt es eigentlich so Signalschalter die zwischen mehr als 2
>Positionen umschalten können?

Ist mir nicht bekannt.

von Gustl B. (-gb-)


Lesenswert?

@ Arc Net:
Vielen Dank!

Falk B. schrieb:
> Naja, das reicht nicht. Ferrite sind bei wenigen MHz recht unwirksam,
> weil eben die Induktivität bzw. der Verlustwiderstand dort noch recht
> niedrig sind. Dafür braucht man echte Induktivitäten. Also einen guten
> LC-Filter. Der darf aber nicht zuviel Güte haben, sonst schwingt der zu
> sehr beim Einschalten.

Ok, Danke, ja das muss ich noch lernen. Aber gegen niedrige Frequenzen 
brauche ich dann halt auch großes C und L, das braucht wieder Platz, ... 
naja muss ich mir mal genauer überlegen.

Falk B. schrieb:
> Nein. entweder mit einer kleinen H-Brücke oder mit einem
> Serienkondensator.
> Eine negative Betriebsspannung wird nur sehr selten verwendet.

Dann nehme ich lieber dual latching und zwei Transitoren.

Falk B. schrieb:
> Dann nimm sie.

Wird gemacht!

Falk B. schrieb:
> Ist mir nicht bekannt.

Schade eigentlich, ich würde auch gerne zwischen mehreren Widerständen 
umschalten können. Ein Digitalpoti ist auch nicht so geeignet wie ich 
mal hier im Forum las. Naja, dann wird eben nur ein Spannungsteiler. Bin 
mir noch unsicher ob ich nicht doch den DC Ofset mit einem DAC am OPV 
abziehen sollte ... aber ich werde das wohl nicht machen.

von Gustl B. (-gb-)


Lesenswert?

So, da bin ich wieder.

Ich finde es schwierig zu messen ob die galvanische Trennung etwas 
bringt oder nicht. Ich habe mir die Breite vom Rauschen angeguckt aber 
das schwankt eben auch so dass es manchmal so aussieht als wäre der 
getrennte ADC Eingang besser aber manchmal sieht es auch schlechter aus 
und die ADC Eingänge sind eben nicht identisch als Schaltung.

Dann wird das Signal nicht 0 ... 12V seien, sondern ich soll auch 
negative Spannungen erfassen können, also -12V bis +12V. Mein ADC hat 
einen Eingangsbereich von 0 ... 4V. Und weil meist ein unipolares Signal 
gemessen wird mit 0 ... 12V, würde ich das gerne so beschalten, dass man 
umschalten kann zwischen:

a) -12V ... +12V -> 0 ... 4V
b)  0 ... +12V -> 0 ... 4V
c) 0 ... +4V -> 0 ... 4V (also das Signal 1:1 weiterreichen wenn es 
schwach ist)
d) -2V ... +2V -> 0 ... 4V
e) -4V ... +4V -> 0 ... 4V

Nun, das ist vermutlich nicht alles machbar, aber zumindest a,b und c 
würde ich sehr gerne haben. Wie ist da die Herangehensweise? Schaltbarer 
Spannungsteiler ist klar, aber bipolar nach unipolar, macht man das 
durch einen Summierer oder durch einen Differenzverstärker?
Und beim schaltbaren Spannungsteiler, schaltet man da den Widerstand 
nach Masse ein und aus oder schaltet man um wo man das Signal abgreift? 
Also einmal über dem 1. Widerstand und einmal zwischen den Widerständen? 
Das hätte den Vorteil, dass die Quelle immer den gleichen Widerstand 
sieht.

von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

So, habe mal eine Schaltung zusammengeklickt. Funktioniert auch wie 
gewünscht, aber ich bin noch unentschlossen wie ich die zu 
subtrahierende Spannung erzeuge.

a) Spannungsreferenzen und zwischen diesen umschalten,
b) einen DAC (mit SPI würde ich verwenden)
c) ein Digitalpoti zwischen -5V und +5V

DAC ist relativ aufwändig, aber dafür universell.

Edit:

Bipolare DACs gibt es gar nicht sooo viele. Ich kann doch auch einen 
unipolaren nehmen und dessen GND auf die -5V legen? Wobei das dann 
unsauber ist wenn -5V rauscht. Alles nicht so einfach und es kostet auch 
noch einige Pins am FPGA wenn ich für je zwei ADC Kanäle einen DAC und 
Relais verbaue.

: Bearbeitet durch User
von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

Also vielleicht ist das ganz brauchbar, ich ziehe die invertierte 
Referenzspannung vom ADC ab. Und mit Relais dann auch die Doppelte.

Wenn ich also

a) -12V ... +12V messen muss wird 1/6 der Spannung durchgelassen (-2V 
... +2V) und dann -2V abgezogen -> 0V ... 4V.

b) 0V ... +12V wird 1/3 der Spannung durchgelassen (0 ... 4V) und nichts 
abgezogen.

c) 0V ... +4V wird direkt durchgelassen ohne Abzug.

d) -2V ... +2V, da wird nur -2V angezogen.

e) -4V ... +4V ist etwas doof. Da würde ich 1/3 durchlassen und -2V 
abziehen, das geht dann also von +0.666V ... +3.333V.

f) -6V ... +6V da wird 1/3 durchgelassen und -2V abgezogen.

g) -12V ... 0V wird 1/3 durchgelassen und dann -4V abgezogen.

Nachteil ist dass es viele Relais sind, das kostet einige IOs am FPGA 
oder eben ein paar Schieberegister. Und natürlich Platz.

von Gustl B. (-gb-)


Lesenswert?

Das Problem mit den Spannungsteilern ist, dass sich die Werte nicht 
multiplizieren. Also wenn ich einen mit 1/3 und einen mit 1/2 habe 
bekomme ich kein 1/6 für die Reihenschaltung. Abhilfe schafft ein 
Spannungsteiler mit mehreren Abgriffen. Z.B.:

In -> 600Ohm -> 200Ohm -> 200Ohm -> 200Ohm -> GND.

Dann kann man zwischen 1/2, 1/3 und 1/6 umschalten mit 3 Relais. Ist 
natürlich immer noch etwas umständlich. Die Alternative ist in einer 
Reihenschaltung von Spannungsteilern oder Dämpfungsgliedern einen OPV 
dazwischenzuschalten. Da bin ich mir aber unsicher was dem Signal mehr 
schadet, ein Relais mehr oder ein OPV?

von Falk B. (falk)


Lesenswert?

@Gustl Buheitel (-gb-)

>Das Problem mit den Spannungsteilern ist, dass sich die Werte nicht
>multiplizieren. Also wenn ich einen mit 1/3 und einen mit 1/2 habe
>bekomme ich kein 1/6 für die Reihenschaltung.

Doch, wenn man mit einem belasteten Spannungsteiler rechnet oder einen 
OPV als Puffer zwischenschaltet.

Allgemein solltest du versuchen, KEINE eierlegende Wollmilchsau zu 
bauen. Beschränke dich mit dein Eingangssufen auf eine SINNVOLLE Anzahl.
Für die negativen Spannungen kann man entweder mit einem Subtrahierer 
einen konstanten Offset abziehen oder mit deinem ohnehin vorhandenen 
Puffer-OPV als Differenzverstärker arbeiten, wobei der dann eine 
Offsetspannung als Nullpunkt braucht.

>dazwischenzuschalten. Da bin ich mir aber unsicher was dem Signal mehr
>schadet, ein Relais mehr oder ein OPV?

Relais sind da eher unkritisch, sie erzeugen sehr wenig Rauschen und 
Offsetspannungen (Thermospannungen).

von Gustl B. (-gb-)


Lesenswert?

Falk B. schrieb:
> Doch, wenn man mit einem belasteten Spannungsteiler rechnet oder einen
> OPV als Puffer zwischenschaltet.

Stimmt. Hm, aber ne, werde ich nicht machen, sondern einen 
Mehrfachspannungsteiler verbauen.

Falk B. schrieb:
> Allgemein solltest du versuchen, KEINE eierlegende Wollmilchsau zu
> bauen. Beschränke dich mit dein Eingangssufen auf eine SINNVOLLE Anzahl.

Naja, das habe ich eigentlich versucht. Zwei Spannungen kann man 
subtrahieren und 3 verschiedene Abschwächungen einstellen.
Man soll halt genauso den vollen Bereich von -12V ... +12V, -12V ... 0V, 
0V ... +12V und 0V ... +4V auf den ADC schalten können. Ich möchte 
ungerne ein oder zwei Bits herschenken nur weil man man nicht den 
Eingangsbereich ausnutzt.

von Gustl B. (-gb-)


Lesenswert?

So, also Spannungsteiler mit Relais funktioniert schonmal. Den Rest muss 
ich noch weiterbestücken und testen.
https://youtu.be/rya-2ZpxYVU

von Limi (Gast)


Lesenswert?

Der Eingangswiderstand variert durch die Verstärkungsumschaltung.

Wie hoch ist der Ausgangswiderstand des Transimpedanzwandlers?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.