Forum: FPGA, VHDL & Co. Ultraschallgerät(Medizin) HMI Touch Vertikale Streifen


von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Es handelt sich hier um ein HMI Touchscreen das eigentlich ein 
Ultraschallgerät steuert .Das Display sollte  die touch- Grafik zeigen 
bzw. laden können ,  statdessen zeigt er nur farbige  Vertikale Streifen 
an .
Ich habe inzwischen das Display und T-con board sowie AD9880  Display 
driver IC gewechselt,leider ist das Problem immer noch da.
Da hier nicht mehr viel übrig bleibt (Kondesatoren und Kabeln geprüft) 
vermute ich das daß Xilinx FPGA xc3s50a defekt ist.
Kennt sich hier eventuell einer  mit den Xilinx aus ,den Debugger zu 
kaufen wäre kein problem, die frage ist ob der FPGA die Firmware 
Schutzfunktion besitzt?
Vielen dank für euren support.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Kennt sich hier eventuell einer  mit den Xilinx aus ,den Debugger zu
> kaufen wäre kein problem, die frage ist ob der FPGA die Firmware
> Schutzfunktion besitzt?

Du kannst das Bitfile ja mal auslesen und mit einem Hexeditor oeffnen. 
Sollte man dann evtl. erkennen koennen ob es verschleusselt ist oder 
nicht.

von Johann L. (johann_l)


Lesenswert?

Tobias B. schrieb:
> Du kannst das Bitfile ja mal auslesen und mit einem Hexeditor oeffnen.
> Sollte man dann evtl. erkennen koennen ob es verschleusselt ist oder
> nicht.

Danke ,also heißt das man kann die Fimware trotz verschlüsselung 
auslesen? ,dann versuche ich mal ,ich bestelle dann den Debugger.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Jep, da muesste irgendwo ein Flash Speicher drauf sein (vll IC3? Ich 
kann auf dem Foto die Bezeichnungen nicht lesen) in dem das Bitfile 
gespeichert ist. Und den Flash liesst einfach aus ab Adresse 0.

Mit dem Programmer kannst du den Flash dann auf jedenfall lesen und 
schreiben, du musst nur die JTAG Pins finden. (J6 koennte da ein 
Kandidat sein)

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Der IC3 ist ein clock buffer ?

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Ok das macht Sinn.

Kannst du mal noch die exakte Beschriftung des Spartans abschreiben oder 
ein besseres Foto machen? Den Spartan 3A gibt es auch mit inetrnem 
Flash, evtl. ist das so eine Variante.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Oben recht ist noch ein ATMEGA28L8au MCU das vermutlich für die 
Komunikation zwischen Konsole und Ultraschallgerät zuständig ist.

von Johann L. (johann_l)


Lesenswert?

Ich finde aber kein JTAG Stecker ,warscheinlich kann man es nur über das 
Serial flashen .

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Ok, der FPGA hat kein internen Flash. Ist da nirgenswo ein Speicher auf 
dem Board drauf? Sonst koennte ich mir vorstellen, dass der FPGA direkt 
von dem MCU konfiguriert wird und das entsprechende Programm bei dem im 
Speicher wird.

Problem ist, dass dir dann das erstmal nichts hilft, bzw. ich bin mir 
nicht sicher ob du via JTAG die Fuses vom FPGA auslesen kannst. Spartan 
3A hab ich seit 10 Jahren nicht mehr gemacht. :-(

Das gute ist,d ass du noch ein FPGA Gehaeuse hast bei dem man messen 
kann. Such dir mal die FPGA JTAG Pins raus und schaumal ob die irgendwie 
auf J6 gehen. Die haben halt den JTAG Stecker weggelassen, aber fuer 
Debugzwecke wird man da bestimmt noch irgendwie drankommen. Einfach mal 
die Platine durchpiepsen und dabei auch die Testpunkte ausprobieren.

von Michael M. (do7tla)


Lesenswert?

Hast du schon mal zum Test einen anderen Monitor mit HDMI Eingang 
getestet?
Auf dem zweiten Bild ist oben ein HDMI Stecker zu erkennen der mit 
Heißkleber Fixiert wurde.
Es kann auch gut sein das nur das Touchdisplay defekt ist.

von Christian R. (supachris)


Lesenswert?

J6 ist ziemlich sicher JTAG, aber was soll das nutzen? Das Bit File kann 
man nicht mit vertretbarem Aufwand zurück wandeln (und wenn dann eh nur 
in eine Netzliste... allerhöchstens), auch mit "Debuggen" ist da nix. Da 
hilft es auch nix dass der S3 noch gar keine Verschlüsselung kann. In 
der Regel geht so ein FPGA nicht einfach so kaputt. Da der sehr klein 
ist scheint der nicht allzuviel zu machen. Da der sein Bitfile eh extern 
bekommt, könnte man ihn natürlich tauschen. Aber viele Hoffnungen mache 
ich dir da nicht.
Was gerne mal kaputt geht nach einigen Jahren sind Quarze. Also mach 
dich mal auf die Suche nach Quarzoszillatoren und schau ob da noch die 
passenden Signale raus kommen.
Du kannst auch im Datenblatt des S3 suchen an welchen Pins man GCLK 
Clock einspeisen kann und da mit dem Oszilloskop schauen ob was 
sinnvolles ankommt. Wenn der mit dem Video zu tun hat, bekommt der 
bestimmt irgendwo sowas wie 24.576MHz...

von Johann L. (johann_l)


Lesenswert?

Michael M. schrieb:
> du schon mal zum Test einen anderen Monitor mit HDMI Eingang getestet?
> Auf dem zweiten Bild ist oben ein HDMI Stecker zu erkennen der mit
> Heißkleber Fixiert wurde.
> Es kann auch gut sein das nur das Touchdisplay defekt ist.

Hi,das Touchdisplay ist schon neu ,ich kann mir nich vorstellen das 
beide Displays defekt sind

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Christian R. schrieb:
> Du kannst auch im Datenblatt des S3 suchen an welchen Pins man GCLK
> Clock einspeisen kann und da mit dem Oszilloskop schauen ob was
> sinnvolles ankommt. Wenn der mit dem Video zu tun hat, bekommt der
> bestimmt irgendwo sowas wie 24.576MHz...

Imteresant  werde ich mal prüfen ,ich frage mich jetzt von wo aus wird 
die Firmware geladen ,wenn ich das Console Kabel trenne kommt der 
Fehlermeldung auf den Großen Monitor

von Andreas S. (Firma: Schweigstill IT) (schweigstill) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Ich finde aber kein JTAG Stecker ,warscheinlich kann man es nur über das
> Serial flashen .

Eine sechspolige, einreihige Stiftleiste mit 2,54 mm Raster schreit doch 
wirlich danach, dass es sich um einen JTAG-Anschluss nach 
Xilinx-Konvention handelt. Das ist doch schon fast wie ein blinkender 
roter Pfeil.

Aber wie von anderen Teilnehmern schon geschrieben, wirst Du maximal 
eine Netzliste auslesen können.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Andreas S. schrieb:
> Aber wie von anderen Teilnehmern schon geschrieben, wirst Du maximal
> eine Netzliste auslesen können.

Könnte  es sein das die Firmware von der Festplatte geladen wird ,ich 
meine das Grafik-Interface muss irgendwo geladen werden ,so sollte das 
aussehen (das Bild habe ich im Netz gefunden.

von Johann L. (johann_l)


Lesenswert?

Tobias B. schrieb:
> Such dir mal die FPGA JTAG Pins raus und schaumal ob die irgendwie
> auf J6 gehen

Kann ich morgen durchmessen,das  Display ist ein NL8060BC26-30c von NEC 
weist du ob man da mit den Oszi das Signal triggern kann ?

von Fitzebutze (Gast)


Lesenswert?

Weitere Tips: mal erst die Konfiguration checken (M0/M1/M2)-Pins, damit 
wird klar, in welchem Modus der Spartan3 seine Programmierung bezieht 
(Siehe auch UG332 oder so aehnlich). Im  Master Serial muss es ein Flash 
geben, Slave serial -> Image kommt von uC, etc.
Dann mal Signale am AD9880-Videoteil checken, ob da was sinnvolles 
anliegt.
Vermutlich macht das FPGA nur etwas Framebuffer-Logik, sieht nach SDRAM 
auf der Platine aus, ist aber schlecht zu lesen.
Für Grafik und Logik ist sonst das FPGA zu klein, das muss von einem 
Prozessor kommen.
Ansonsten ist mit dem Bitfile für "Outsider" nicht viel anzufangen, es 
gibt höchstens die Möglichkeit, es in quasi Gate-Level-Verilog 
zurückzuverwandeln und zu gucken, was die Black-Box macht.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Christian R. schrieb:
> J6 ist ziemlich sicher JTAG, aber was soll das nutzen? Das Bit File kann
> man nicht mit vertretbarem Aufwand zurück wandeln (und wenn dann eh nur
> in eine Netzliste... allerhöchstens), auch mit "Debuggen" ist da nix. Da
> hilft es auch nix dass der S3 noch gar keine Verschlüsselung kann.

Der Punkt war dass er schauen koennte ob die Verschluesslungsbits 
gesetzt sind. Wenn der Spartan 3A das eh nicht kann, hat sich das 
erledigt. FPGA ausloeten und gut.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Der IC3 ist ein clock buffer ?
Was mich zur Frage bringt, ob die Takte alle dort anliegen, wo sie 
hingehören...

Wie schon Christian R. schrieb:
>> Also mach dich mal auf die Suche nach Quarzoszillatoren und schau ob
>> da noch die passenden Signale raus kommen.
Und auch, ob der Clock-Buffer funktioniert.

> statdessen zeigt er nur farbige  Vertikale Streifen an
Wenn die sich nicht verändern und jedesmal irgendwo anders sind, dann 
bekommt das Display keinen Takt.

> sowie AD9880  Display driver IC gewechselt
Das ist ein HDMI->RGB Wandler:
https://www.analog.com/en/products/ad9880.html#product-overview
Offenbar wird das Bild für das Display nicht auf dieser Platine erzeugt, 
sondern von woanders empfangen und nur an das Display weitergegeben. 
Läuft der HDMI-RGB Wandler korrekt? Wird der richtig versorgt? Liegen 
die nötigen Takte an? Kommen da z.B. plausible HS und VS Signale heraus? 
Hast du mal diese Signale gemessen, die da vor und nach dem Treiber zum 
Display gehen? Oder einfach nur drauf los gewechselt?

von Johann L. (johann_l)


Lesenswert?

Vielen Dank für die zahlreichen Tipps ,der J6 ist mit TDS, TDI,TMS,TCK 
verbunden ,(danke Andreas),der j7 ist auch mit FPGA Bank-0 verbunden 
keine Ahnung wofür , ich habe den Spartaner bestellt ,wenn nichts drauf 
ist dann kann ich den einfach ersetzen .

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> Läuft der HDMI-RGB Wandler korrekt? Wird der richtig versorgt? Liegen
> die nötigen Takte an? Kommen da z.B. plausible HS und VS Signale heraus?
> Hast du mal diese Signale gemessen, die da vor und nach dem Treiber zum
> Display gehen? Oder einfach nur drauf los gewechselt?

Hi Lothar ,3,3v liegen an ,welche Taktung soll da ankommen? Ich dachte 
das ist ein System one Wandler ,auf der Platine ist nur das eine cts 
09-cb3

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Wenn die sich nicht verändern und jedesmal irgendwo anders sind, dann
> bekommt das Display keinen Takt.

Korrekt, der CTS ist ein Clock Oszillator ,scheinbar hast du recht das 
Display hatt keinen Takt, welches Signal soll da ankommen?

von Christian R. (supachris)


Lesenswert?

Da steht drauf dass der 8MHz erzeugen soll. In der Regel an Pin 3 (im 
Bild oben rechts). Pin 1 ist OE, 2 GND und 4 VCC.

Der Spartan ist ziemlich sicher nicht kaputt. Der S3A ist so grob in der 
Struktur, dass der auch mit ESD nicht so leicht aus der Ruhe zu bringen 
ist.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Angehängte Dateien:

Lesenswert?

Johann L. schrieb:
> das Display hatt keinen Takt, welches Signal soll da ankommen?
Findest du ein Datenblatt für das (oder ein ähnliches) Display? Dort 
sollte dann drinstehen, welches Signal ankommen müsste. Aber wenn /gar 
keines/ kommt, dann musst du sowieso "davor" suchen.

Johann L. schrieb:
> Hi Lothar ,3,3v liegen an ,welche Taktung soll da ankommen?
Datenblatt ansehen. Signale mit "CLK" im Namen lokalisieren. Pins 
abzählen. Messen.

Johann L. schrieb:
> Kondesatoren und Kabeln geprüft
Zumindest beim C14 hätte ich da ernstliche Bedenken. Der hat eine 
Transformation im Farbraum durchlaufen...

Und weil der in der Spannungsversorgung sitzt: (soweit möglich) alle 
Versorgungsspannungen an allen Verosrgungspins aller ICs kontrollieren 
und auf Plausibilität prüfen.

: Bearbeitet durch Moderator
von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Der c14 war mir auch verdächtig ,deshalb hatte ich den mit dem Heißluft 
entfernt und geprüft ,der ist aber für das USB Port zuständig würde ich 
sagen, hier ist das Signal von Oszillator und noch ein Bild von Display, 
die Balken sind jetzt wo anders, so wie du vermutet hast.

von Johann L. (johann_l)


Lesenswert?

Das CLK Signal folgt noch.

von Johann L. (johann_l)


Lesenswert?

Das CLK Signal folgt noch.

Christian R. schrieb:
> Der Spartan ist ziemlich sicher nicht kaputt. Der S3A ist so grob in der
> Struktur, dass der auch mit ESD nicht so leicht aus der Ruhe zu bringen
> ist.

Ok danke ,dann muss ich weiter suchen .

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Ok danke ,dann muss ich weiter suchen .
Ja, beim Takt zum Display und um den HDMI-Wandler.

Johann L. schrieb:
> Der c14 war mir auch verdächtig ,deshalb hatte ich den mit dem Heißluft
> entfernt und geprüft
Mit welchem Ergebnis?
Ich kenne derart verfärbte Tantals nur als "defekt" oder zumindest 
"vorgeschädigt".

> der ist aber für das USB Port zuständig würde ich sagen
Was ist der U12?

: Bearbeitet durch Moderator
von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> Kommen da z.B. plausible HS und VS Signale heraus

Also gerade gemessen, HS VS + - da  kommt nichts ,auch DATACLK pin 89 
nichts  ,der IC3 clock Buffer ,hat das gleiche Signal an Inputs und 
outputs (Foto)

von Frickel F. (frickelfritze)


Lesenswert?

Meine 3 Cent dazu... schauen ob die Spannungen (1,2V/2,5V/3,3V) am FPGA 
anliegen und ob DONE auf 1 geht, bevor der nicht auf High steht, tut 
sich im FPGA auch nichts.

Gruß

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Was ist der U12?

tps75003 Texas

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> tps75003
Ein mehrkanaliger Schaltregler.

Ist der "durchgegangen" und hat den Tantal (da war doch noch die Frage 
nach dem Untersuchungsergnis dieses dunkelgebräunten Kandidaten) und 
ggfs. noch mehr gehimmelt?

von Christian R. (supachris)


Lesenswert?

Frickel F. schrieb:
> Meine 3 Cent dazu... schauen ob die Spannungen (1,2V/2,5V/3,3V) am
> FPGA
> anliegen und ob DONE auf 1 geht, bevor der nicht auf High steht, tut
> sich im FPGA auch nichts.
>
> Gruß

Und auch am Init gucken. Falls am Bitstream was nicht stimmt, kann man 
am Init ablesen:
1
The program pin, PROG_B, initiates the configuration process. The FPGA also
2
automatically initiates configuration on power-up. The JTAG interface has a separate JTAG command to initiate configuration. The PROG_B pin also forces a master reset on the FPGA.
3
4
The INIT_B pins performs multiple functions. At the start of configuration, INIT_B goes Low indicating that the FPGA is clearing its internal configuration memory--a process called housecleaning. Later, when the FPGA is actively loading its configuration bitstream, INIT_B goes Low if the bitstream fails its CRC check. On Extended Spartan-3A family FPGAs, if so enabled in the FPGA application, the INIT_B pin also potentially signals a post-configuration CRC error.

Xilinx UG332.

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Johann L. schrieb:
> tps75003
>
> Ein mehrkanaliger Schaltregler.
>
> Ist der "durchgegangen" und hat den Tantal (da war doch noch die Frage
> nach dem Untersuchungsergnis dieses dunkelgebräunten Kandidaten) und
> ggfs. noch mehr gehimmelt?

Irgendwas stimmt hier nicht Lother ,wie der Frickel sagt ,erst die 
Spannung messen!(danke) .Der Spartan bekommt zu viel von der Gemüsesuppe 
,Pin.100=3,3v, 99-3,3v,96-3,3v, 92-3,3v ,84-3,3v,81-1,2v,75-3,3v 
,67-3,3v ,66-1,2v,60-3,3v 59-3,3v, 57-3,3v  es geht  so weiter...

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Der tps75003 bekommt 4,9v und nur das eine Pin 1,6v , ich muss noch 
schauen was er macht ,jedenfalls ist er extrem klein, der Tantal hat 
auch 4 , 9v ,ich kann den aber nochmal entfernen.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Der Tastkopf muss noch feiner sein.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Der fpga ist eventuell doch defekt? so wie Fitzbutze schrieb die Grafik 
kommt von wo anders .

Fitzebutze schrieb:
> Für Grafik und Logik ist sonst das FPGA zu klein, das muss von einem
> Prozessor kommen.

von Johann L. (johann_l)


Lesenswert?

Die vorletzte Zeile, loading graphical interface.
Logisch 5v=USB

: Bearbeitet durch User
von Frickel F. (frickelfritze)


Lesenswert?

Moin

Ich bin mir sicher das Vermutungen ob der FPGA defekt ist oder nicht, 
nicht zum ziel führen. ;)

Wie auch schon von anderen gepinselt sind die Spartan FPGAs sehr robust, 
die geben nicht so schnell den Geist auf!

Zu den Spannungen, die sollten passen, ich hatte nicht im Kopf das die 
Spartan 3A FPGAs auch mit 3,3V AUX laufen "dürfen" wo bei allen anderen 
3ern 2,5V angesagt sind.

Als nächstes würde ich jetzt so vorgehen wie weiter oben schon 
geschrieben, erst mal schauen wie die Config Pins belegt sind, M0(25), 
M1(23), M2(24). Ich schätze mal das wir da auf Slave Serial stoßen 
werden. Wen dem so ist, würde ich schauen ob beim einschalten am FPGA 
die Pins zappeln um das Bitfile zu laden, CCLK(53), DIN(51), 
PROG_B(100), INIT_B(48), am ende sollte es darauf hinauslaufen das 
DONE(54) auf 1 geht. Wen aber hier schon irgendwo was schief läuft, dann 
bleibt der FPGA tot.

Dann ist mir auf dem einem Bild noch aufgefallen das an IC1 so ein ganz 
kleiner hubbel auf dem Gehäuse zu sehen ist?! Ist das Dreck oder hat da 
jemand Rauchzeichen zu den Silizium Göttern gesendet? :D

Auch würde ich mal durch klingeln ob die ganzen Config Pins nicht auch 
zu den TPxx Pins gehen, das dürfte das kontaktieren deutlich 
vereinfachen.

Messspitze... Krankenhaus, Spritzen, Nadeln, besonders zu empfehlen die 
0,5mm Nadeln für Insulin, Draht drum getüdelt und schon hat man 
provisorisch eine feine Messspitze. :)

Gruß

Ps:
Das Zappeln an den Config Pins kommt nicht vom FPGA selber, die müssen 
von woanders her kommen, ich denke mal das wird der AVR übernehmen.

: Bearbeitet durch User
von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Frickel F. schrieb:
> ann ist mir auf dem einem Bild noch aufgefallen das an IC1 so ein ganz
> kleiner hubbel auf dem Gehäuse zu sehen ist?

Gut aufgepasst! Es ist nur der Flux

Frickel F. schrieb:
> würde ich schauen ob beim einschalten am FPGA die Pins zappeln um das
> Bitfile zu laden, CCLK(53), DIN(51)

Meinst du mit den Debugger?danke für den Tipp ,auch die Idee mit der 
Spitze die ist super,morgen werde ich alles durchmessen. Gruß

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Meinst du mit den Debugger?
Was hast du denn immer mit einem "Debugger"? Mit dem Oszilloskop misst 
man da an den Pins. Und dann kontrolliert man auch gleich ob die Pegel 
zu dem passen, was im Datenblatt steht.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Frickel F. schrieb:
> erst mal schauen wie die Config Pins belegt sind, M0(25), M1(23),
> M2(24). Ich schätze mal das wir da auf Slave Serial stoßen werden.

M0,M1sind mit der MAXE über 4,7k verbunden die M2 fehlt der Widerstand 
(da habe ich nichts gemacht)  Serial also  , Pins 23 und 25 sind 
Kurzgeschlossen (auf der Maxe seite ) ,die Console fährt nicht mehr 
hoch, 3,3v Spannung ausgefallen 12v Hauptversorgung ist noch da 
,irgendwas habe ich abgeschossen  wobei gestern lief alles, dazwischen 
habe ich die   Plattine nicht angefasst.

: Bearbeitet durch User
von Johann L. (johann_l)


Lesenswert?

Erkennt einer von euch hier  Spannungsregler  ,5v USB Versorgung ist 
noch da ?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> die M2 fehlt der Widerstand (da habe ich nichts gemacht)
Hat der schon immer gefehlt? Oder warum sehen die Pads so eigenartig 
aus?

> auf der Maxe seite
Meinst du damit die Masse?

Johann L. schrieb:
> Erkennt einer von euch hier  Spannungsregler
Natürlich. Wir hatten schon mal drüber diskutiert in diesem Thread. Und 
die Frage nach dem dunkelgebräunten Kondensator ist auch noch 
unbeantwortet...

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Meinst du damit die Masse?

Hallo Lothar, nein der IC MAX323 , so was wie die Galvanische Trennung 
zwischen Serial und Spartan ,der Kondensator bekommt direkt 5V DC

Lothar M. schrieb:
> Hat der schon immer gefehlt? Oder warum sehen die Pads so eigenartig
> aus?

Ich denke das hat immer gefehlt, keine Ahnung warum die Pads so 
aussehen, ist wirklich eigenartig, aber seit heute morgen fehlt die 
ganze Stromversorgung 3,3v auch der AD Wandler Atmel und Spartan alles 
Tod !

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Den Kondensator gerade gemessen 21,7uF,was ist 2cr? Ich finde nichts im 
Netz

: Bearbeitet durch User
von Ohoh (Gast)


Lesenswert?

Wie sieht denn die Vorgeschichte des Displays aus. Hat das funktioniert 
bis ploetzlich der Vehler aufgetreten ist?

von Johann L. (johann_l)


Lesenswert?

Ohoh schrieb:
> Wie sieht denn die Vorgeschichte des Displays aus. Hat das funktioniert
> bis ploetzlich der Vehler aufgetreten ist?

Es hat alles funktioniert, das Gerät nach längerer Pause eingeschaltet 
und dann nur noch Streifen.

von Ohoh (Gast)


Lesenswert?

Ich gehe immer so vor:

Offensichtliche optisch erkennbare Fehler suchen

Dann:

Versorgungsspannungen messen

das dauert eine Weile...

Dann:

Temperaturen der Bauteile pruefen

...

von Duke Scarring (Gast)


Lesenswert?


von Johann L. (johann_l)


Lesenswert?

Duke Scarring schrieb:
> Vielleicht sowas?!:

Könnte sein, der ist laut Datenbank auch für USB.2 gedacht bzw. befindet 
sich gleich neben der USB Buchse ,dann ist das  er unwichtig ,scheinbar 
ist der tps75003 defekt ,der sollte 3,3v 2,5v 2A liefern und zwar für 
den Spartaner und Wandler, 5v kommen an .

von Duke Scarring (Gast)


Lesenswert?

Wenn ein Spannungswandler kaputt gegangen ist, sollte man schauen, ob 
man dafür eine Ursache findet. Nachher tauscht man den Wandler und es 
geht trotzdem nicht, weil irgendwo ein C die Betriebsspannung 
kurzschließt...

Duke

von Frickel F. (frickelfritze)


Lesenswert?

Duke Scarring schrieb:
> Wenn ein Spannungswandler kaputt gegangen ist, sollte man schauen, ob
> man dafür eine Ursache findet. Nachher tauscht man den Wandler und es
> geht trotzdem nicht, weil irgendwo ein C die Betriebsspannung
> kurzschließt...
>
> Duke

Nach der Ursache suchen ist hier glaube ich das richtige Stichwort, 
schon alleine die Späne an den Befestigungslöschern hätte mir von Anfang 
an arg sorgen gemacht, genauso wie der halb verbrannte Tantal.

Johann L. schrieb:
> Duke Scarring schrieb:
>> Vielleicht sowas?!:
>
> Könnte sein, der ist laut Datenbank auch für USB.2 gedacht bzw. befindet
> sich gleich neben der USB Buchse ,dann ist das  er unwichtig ,scheinbar
> ist der tps75003 defekt ,der sollte 3,3v 2,5v 2A liefern und zwar für
> den Spartaner und Wandler, 5v kommen an .

Schau doch erst mal nach ob er versucht zu starten, Scope hast du ja da, 
damit ließe sich auch ratz fatz raus finden welche schiene einen Kurzen 
hat. Der Chip hat doch alles mögliche an Schutzschaltungen eingebaut.


Um hierauf noch mal zurück zu kommen...
Johann L. schrieb:
> Frickel F. schrieb:
>> erst mal schauen wie die Config Pins belegt sind, M0(25), M1(23),
>> M2(24). Ich schätze mal das wir da auf Slave Serial stoßen werden.
>
> M0,M1sind mit der MAXE über 4,7k verbunden die M2 fehlt der Widerstand
> (da habe ich nichts gemacht)  Serial also  , Pins 23 und 25 sind
> Kurzgeschlossen (auf der Maxe seite ) ,die Console fährt nicht mehr
> hoch, 3,3v Spannung ausgefallen 12v Hauptversorgung ist noch da
> ,irgendwas habe ich abgeschossen  wobei gestern lief alles, dazwischen
> habe ich die   Plattine nicht angefasst.

Da hatte ich mich wohl falsch ausgedrückt, gemeint war was an den Config 
Pins M0-M2 für ein Pegel anliegt beim Starten, was die nach dem Starten 
machen oder wo die hingehen (MAX232) ist erst mal egal. Die Pins haben 
so zu sagen 2 leben, einmal beim Bitfile laden und danach im betrieb, so 
wie hier als RS232.

Gruß

von Johann L. (johann_l)



Lesenswert?

Frickel F. schrieb:
> Schau doch erst mal nach ob er versucht zu starten, Scope hast du ja da,
> damit ließe sich auch ratz fatz raus finden welche schiene einen Kurzen
> hat. Der Chip hat doch alles mögliche an Schutzschaltungen eingebaut

Hallo, meinst du ob die Mosfets zünden? da kannst du mit den Scope nicht 
viel sehen außer das die Spannung an Gata und Source Anliegt (4,9v) ,im 
Datenbank von tps75003 habe ich ein Beispiel gefunden wie dass mit dem 
Regler funktioniert. Demnach müssten die q1 und q2  si2323ds von vishay 
sein ,bei mir sind das d3y6a, der d3y6a hat an Drain (Pin in der Mitte) 
15ohm Widerstand gegen die Masse. Der  R003 ist offensichtlich 
abgebrannt und hat nur noch 0 Ohm ,im Datenbank steht aber das daß ein 
0, 01 Ohm Widerstand ist.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Nach der Ursache suchen ist hier glaube ich das richtige Stichwort,
> schon alleine die Späne an den Befestigungslöschern hätte mir von Anfang
> an arg sorgen gemacht, genauso wie der halb verbrannte Tantal

Den Tantal habe ich getauscht (an der Kante gelötet) der ist Größer 
passenden habe ich hier nicht ist auch 22uF .
Gruß und Danke für deine Hilfe

: Bearbeitet durch User
von Johann L. (johann_l)


Lesenswert?

Pins 14 und 7 an ti75003 sind mit Mosfets-Gate über 0 Ohm Widerstende 
verbunden, gerade gemessen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Der  R003
Wo ist da ein R003?
Meinst du den R115, der einen aufgedruckten Wert von 0,033 Ohm hat?

> ist offensichtlich abgebrannt und hat nur noch 0 Ohm
Abgebrannte Widerstände werden idR. hochohmig.

> im Datenbank steht aber das daß ein 0,01 Ohm Widerstand ist.
Hast du so ein Messgerät? Kannst du den Unterschied zwischen 0,00 und 
0,01 Ohm überhaupt messen?

Johann L. schrieb:
> Pins 14 und 7 an ti75003 sind mit Mosfets-Gate über 0 Ohm Widerstende
> verbunden, gerade gemessen.
Ja, auch im Bild 18 im Datenblatt sind diese Pins direkt an die Gates 
angeschlossen:
1
8.2 Typical Application 
2
Figure 18 shows a typical application circuit for powering the Xilinx Spartan-3FPGA.
Und was passiert an diesen Pins? Welchen Spannungsverlauf siehst du da 
mit dem Oszi? Passt der zu dem, was das Datenblatt beschreibt?


Johann, im Ernst: in mir verfestigt sich die Meinung, dass diese Aufgabe 
doch deutlich über deinem Können liegt. Und dass du mit dem derzeitigen 
planlosen Herumstochern mit an Sicherheit grenzender Wahrscheinlichkeit 
nicht ans Ziel kommen wirst. Was spricht dagegen, das Ding zum 
Reparieren zu schicken?

: Bearbeitet durch Moderator
von Thorsten R. (halogenfan)


Lesenswert?

Ich habe den Thread nicht richtig verfolgt, deshalb gebe ich meinen Senf 
unter Vorbehalt.

Der Xilinx Spartan 3A hat intern nur SRAM Speicherzellen, muss also nach 
dem Power-On mit der Konfiguration geladen werden. Das geschieht 
garantiert von außen, über einen USB-Controller oder ähnliches. Damit 
kann man ihm einfach ein neues Konfigurationsfile geben.

Der Spartan erzeugt garantiert die Taktsignale für das Display. Ich 
glaube nicht, das er defekt ist. Ich würde ihn einfach mal mit Heissluft 
nachlöten. Und noch was fällt mir ein. Ich glaube im Datenblatt steht 
etwas über die Reihenfolge, wie die einzelnen Versorgungsspannungen 
hochfahren müssen. Das würde ich mir mal anschauen und nachmessen.

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Johann, im Ernst: in mir verfestigt sich die Meinung, dass diese Aufgabe
> doch deutlich über deinem Können liegt. Und dass du mit dem derzeitigen
> planlosen Herumstochern mit an Sicherheit grenzender Wahrscheinlichkeit
> nicht ans Ziel kommen wirst. Was spricht dagegen, das Ding zum
> Reparieren zu schicken?

Wenn du das Board Reparieren kannst dann gerne,das Gerät ist BJ2014  und 
ist noch ganz modern ,( 3D und 4D )der Hersteller ist aus Canada und 
kann mir weder Software noch die Ersatzteile dafür liefern, in der Regel 
wechseln die das ganze Board plus das Display. .

Lothar M. schrieb:
> Wo ist da ein R003?
> Meinst du den R115, der einen aufgedruckten Wert von 0,033 Ohm hat?

sorry der R033 ist gemeint, leider habe ich auch falsch gesucht

Lothar M. schrieb:
> Und was passiert an diesen Pins? Welchen Spannungsverlauf siehst du da
> mit dem Oszi? Passt der zu dem, was das Datenblatt beschreibt?

Ich schau gleich nach was der Oszi sagt

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Hast du so ein Messgerät? Kannst du den Unterschied zwischen 0,00 und
> 0,01 Ohm überhaupt messen?

Ja der Fluke 287 kann dass.

von Johann L. (johann_l)


Lesenswert?

Thorsten R. schrieb:
> Der Spartan erzeugt garantiert die Taktsignale für das Display. Ich
> glaube nicht, das er defekt ist. Ich würde ihn einfach mal mit Heissluft

Ist es nicht einfacher den Spartan zu tauschen, der kostet nur 10eur und 
bei Ali bekommt man 3 Stück für 10eur ,der ti75003 kostet 87cent, muss 
man halt länger warten. Mit Heißluft geht das auch, ich besitze aber 
eine Große  Automatische BGA Rework station.

von Johann L. (johann_l)


Lesenswert?

Thorsten R. schrieb:
> wie die einzelnen Versorgungsspannungen hochfahren müssen. Das würde ich
> mir mal anschauen und nachmessen.

Jetzt habe ich es  verstanden, der ti75003 liefert 1,2 und 3,3v mit  der 
Verzögerung bzw.  mit der Verspätung. Danke

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Das kommt auf Gate und Source.

von Thorsten R. (halogenfan)


Lesenswert?

Ich könnte mir noch etwas vorstellen. Das FPGA ist offensichtlich im 
Design, damit der Hersteller verschiedene Displaytypen verbauen kann (je 
nach Verfügbarkeit). Es muss jetzt einen Mechanismus geben, damit 
erkannt wird welcher Displaytyp verbaut ist. Nach meiner Vermutung wird 
dann von der Software die passende Config Datei in den Baustein geladen. 
Vielleicht läuft ja bei der Erkennung schon was falsch und der FPGA wird 
dann für ein anderes Display konfiguriert.

von Johann L. (johann_l)


Lesenswert?

Thorsten R. schrieb:
> Ich könnte mir noch etwas vorstellen. Das FPGA ist offensichtlich im
> Design, damit der Hersteller verschiedene Displaytypen verbauen kann (je
> nach Verfügbarkeit). Es muss jetzt einen Mechanismus geben, damit
> erkannt wird welcher Displaytyp verbaut ist. Nach meiner Vermutung wird
> dann von der Software die passende Config Datei in den Baustein geladen.
> Vielleicht läuft ja bei der Erkennung schon was falsch und der FPGA wird
> dann für ein anderes Display konfiguriert.

Hi Thorsten,  das klingt auch logisch,wird da eventuell was über den 
Atmel geladen ? Wobei die Pins M0,M1,M2 an Spartan mit MAX 323 und von 
da aus  direkt an Serial-Kabel gehen, mit hoher Wahrscheinlichkeit 
bekommt das Board die Grafik und die Konfigs über Serial, das USB ist 
nur für Speichersticks gedacht und das funktioniert auch.

: Bearbeitet durch User
von Thorsten R. (halogenfan)


Angehängte Dateien:

Lesenswert?

Das da auch ein ATMEL ist, habe ich nicht mitbekommen. Ok, dann macht 
dieser die Konfiguration des FPGAs. Das heist es werden wohl alle Pins, 
die an dem Laden des FPGAs beteiligt sind, zum ATMEL gehen. Wenn das so 
ist, dann kannst Du Dir die Ladesequenz einfach mit dem Scope anschauen.

Hier sind jetzt verschiedene Modies denkbar, seriell oder paralell. Das 
lässt sich aber rausfinden, anhand der Leiterbahnen (die parallele 
Konfiguration hat mehr als 8 Leitungen, Daten und Steuersignale). Die 
serielle hat nur ein Datensignal und Steuerleitungen.

Ich habe das Datenblatt vom Spartan gefunden. Ab Seite 54 ist die 
Konfiguration beschrieben. Diese muss bei jedem Power-On korrekt 
durchlaufen werden (Slave seriell oder Slave parallel).

Der FPGA meldet das korrekte Laden mit einer Steuerleitung zurück, wie 
diese heisst finde ich aber auf die Schnelle nicht.

von Christian R. (supachris)


Lesenswert?

Das hatten wir doch hier: 
Beitrag "Re: Ultraschallgerät(Medizin) HMI Touch Vertikale Streifen" alles schon. Aber 
irgendwie gab´s keine Meldung, was Init und Done so anstellen dort.

von Johann L. (johann_l)


Lesenswert?

Danke Thorsten, ich habe jetzt den TI75003 (5Stück bestellt) es dauert 
erstmal eine Weile bis das Powersupply aus China kommt ich melde mich 
dann hier bei euch , der Atmel ist ein atmega128l au .
Vielen Dank für euren Support.

von Johann L. (johann_l)


Lesenswert?

Christian R. schrieb:
> Aber irgendwie gab´s keine Meldung, was Init und Done so anstellen dort.

Mache ich noch Christian ,jetzt muss man erstmal abwarten bis die 
Chinesen das Powersupply liefern.

von Frickel F. (frickelfritze)


Lesenswert?

Moin :)

Johann L. schrieb:
> Frickel F. schrieb:
>> Schau doch erst mal nach ob er versucht zu starten, Scope hast du ja da,
>> damit ließe sich auch ratz fatz raus finden welche schiene einen Kurzen
>> hat. Der Chip hat doch alles mögliche an Schutzschaltungen eingebaut
>
> Hallo, meinst du ob die Mosfets zünden? da kannst du mit den Scope nicht
> viel sehen außer das die Spannung an Gata und Source Anliegt (4,9v) ,im
> Datenbank von tps75003 habe ich ein Beispiel gefunden wie dass mit dem
> Regler funktioniert. Demnach müssten die q1 und q2  si2323ds von vishay
> sein ,bei mir sind das d3y6a, der d3y6a hat an Drain (Pin in der Mitte)
> 15ohm Widerstand gegen die Masse. Der  R003 ist offensichtlich
> abgebrannt und hat nur noch 0 Ohm ,im Datenbank steht aber das daß ein
> 0, 01 Ohm Widerstand ist.

Das Mosfets zünden habe ich auch noch nie gelesen! Zünd-Fetz so zu 
sagen, das Fetzt. :D

Von wem oder welche Mosfets verwendet werden hängt von der Anwendung, 
Strom pi pa po ab, darum heißt es ja im Datenblatt "Typical 
Application".

Zum 0,033 Ohm wurde ja schon alles gesagt.

Die 15Ohm gegen Masse "könnten" aber verdächtig sein, da würde ich jetzt 
mal ansetzen und suchen. Zur Not mal mit dem Lab-Netzteil 3,3V drauf 
geben mit ein paar mA, irgendwo wird schon was warm werden.


Johann L. schrieb:
> Das kommt auf Gate und Source.

Unbrauchbar! Was passiert unmittelbar beim Starten, also beim 
einschalten? Das der nicht läuft, hatten wir ja nun schon raus gefunden, 
nur noch nicht das warum.

Wen da was auffällig ist, passiert das unmittelbar beim einschalten.

Dann würde ich dich auch bitten die Bilder so zu machen das man auch 
alle Einstellungen vom Scope sehen kann.

Lothar M. schrieb:
>
> Johann, im Ernst: in mir verfestigt sich die Meinung, dass diese Aufgabe
> doch deutlich über deinem Können liegt. Und dass du mit dem derzeitigen
> planlosen Herumstochern mit an Sicherheit grenzender Wahrscheinlichkeit
> nicht ans Ziel kommen wirst. Was spricht dagegen, das Ding zum
> Reparieren zu schicken?

+1

Gruß

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Thorsten R. schrieb:
> Ich habe den Thread nicht richtig verfolgt
Da hol das bitte noch nach.
Und lies auch, dass das Ding inzwischen durch planloses Herumstochern 
noch viel "kaputter" ist als ganz zu Beginn.

Thorsten R. schrieb:
> Ich glaube...
Über diese Phase sind wir lange raus.

Johann L. schrieb:
> Ja der Fluke 287 kann dass.
Hast du für diese Messung den Widerstand wenigstens aus der Schaltung 
ausgelötet? Denn ich bin mir sicher, dass nicht mal der Fluke eingebaute 
Bauteile korrekt vermessen kann.

Johann L. schrieb:
> Wenn du das Board Reparieren kannst
Ich könnte das schon. Nur wird das für dich sicher teurer als wenn du 
das Board gegen ein Neues tauschen lässt.

> der Hersteller ist aus Canada und
> kann mir weder Software noch die Ersatzteile dafür liefern
"Können" könnte er schon aber "wollen" will er nicht. Und ich hege den 
Verdacht, dass hier auch ein Schaltplan nicht allzu viel helfen würde.

> in der Regel
> wechseln die das ganze Board plus das Display.
Diese Vorgehensweise scheint mir sinnvoll. Nimm diesen Weg.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Zur Not mal mit dem Lab-Netzteil 3,3V drauf
> geben mit ein paar mA, irgendwo wird schon was warm werden.

Kann ich alles  am Sonntag machen.
Gruß

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> Und lies auch, dass das Ding inzwischen durch planloses Herumstochern
> noch viel "kaputter" ist als ganz zu Beginn

Lothar ich hab nichts gemacht, einfach das Gerät ausgeschaltet und 
wieder eingeschaltet, das Display und das Board ist gelaufen und nach 
Neustart ging nichts mehr, so jetzt hängt das Board an Lab. Netzteil und 
es geht weiter, was mir hier  auffällt, die 1,2V zieht keinen Strom. 
Scope Messung folgt...

von Frickel F. (frickelfritze)


Lesenswert?

Moin :)

Fast 900mA, das kommt mir recht viel vor wen der FPGA nichts macht! Die 
1,2V kommen doch bestimmt noch vom TPS75003 Regler?

Und da wird nichts warm? Ich denke mal Displaybeleuchtung könnte einiges 
schlucken...

Wo wir auch noch nicht geschaut haben ob der AVR überhaupt noch lebt.

Gruß

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Die 1,2V kommen doch bestimmt noch vom TPS75003 Regler

Nein da habe ich doch an Mosfet Pin auch das Lab.Netzteil mit 1,2v 
drauf.

Frickel F. schrieb:
> Und da wird nichts warm? Ich denke mal Displaybeleuchtung könnte einiges
> schlucken...

Nichts ist warm, da ist auch Strom für Analog Devices Wandler und Atmel 
wird über die Leitung  ebenfalls versorgt.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Wo wir auch noch nicht geschaut haben ob der AVR überhaupt noch lebt

Der Atmel hat bestimmt noch Firmware drauf falls er noch lebt?

Frickel F. schrieb:
> Die 1,2V kommen doch bestimmt noch vom TPS75003 Regler?

Du meinst das 1,2v von Lab.Netzteil und  tps75003 kommt? das kann ich 
nachmessen.

von Frickel F. (frickelfritze)


Lesenswert?

Jo, der AVR wird darauf wohl der Hauptrechenknecht sein, zumindest wird 
der wohl den FPGA mit dem Bitfile füttern, sonnst ist ja kein weiterer 
Flash oder dergleichen drauf.

Ich würde jetzt erst mal so vorgehen und schauen ob vom AVR was kommt.

- Takt ging ja dran soweit sich nichts geändert hat. Würde ich aber auch 
noch mal kontrollieren.
- Schauen ob der Reset kommt und für weitere Experimente würde ich da 
gleich noch einen Taster dran löten.

Und halt wie weiter oben schon gepinselt, mal schauen ob sich dann was 
am FPGA mit CCK, INIT_B & Co was tut.

Und um es mir insgesamt leichter zu machen würde ich auch erst mal alle 
xxTP aus klingeln, die werden da nicht nur zum Spaß drauf sein.

von Johann L. (johann_l)


Lesenswert?

Johann L. schrieb:
> Die 1,2V kommen doch bestimmt noch vom TPS75003 Regler?

Gerade geprüft TPS75003 liefert keine Spannung mehr die 1,2v sind aus 
den  Netzteil .

von Frickel F. (frickelfritze)


Lesenswert?

Ich habe das jetzt nicht mehr 100% im Kopf, aber mir geistert da noch 
was Rum das an den 1,2V nicht viel gezogen wurde wen der FPGA noch nicht 
initialisiert war, ich bin mir aber nicht 100% sicher!

von Christian R. (supachris)


Lesenswert?

Johann L. schrieb:
> Johann L. schrieb:
>> Die 1,2V kommen doch bestimmt noch vom TPS75003 Regler?
>
> Gerade geprüft TPS75003 liefert keine Spannung mehr die 1,2v sind aus
> den  Netzteil .

Und die restlichen SPannungen für das FPGA? Insgesamt mögen die das 
nicht wenn da nur eine der nötigen Spannungen da ist, bei der Core 
Spannung gehts noch gerade so.
900mA sind zwar relativ viel, kann aber für den nicht konfigurierten 
FPGA schon okay sein, vor allem wenn er in dem verbotenen Zustand 
betrieben wird mit VCore alleine. Die Xilinx FPGAs ziehen mitunter beim 
Start und vor/während der Config viel Strom.

Hätte denn der Atmel überhaupt genug Speicherplatz für das Bitfile? 
Achja, Boot geht natürlich auch nur, wenn alle dafür nötigen Spannungen 
am FPGA da sind, also mindestens noch VCCAUX dazu. Und die Bank in der 
die Boot Pins sind, muss auch korrekt gepowered werden.

von Frickel F. (frickelfritze)


Lesenswert?

@Christian, die VCCAUX hatten wir schon, die sind wohl hier an den 3,3V 
mit dran, hatte mich auch sehr gewundert, ist aber bein den 3A FPGAs so 
erlaubt.

Ob das Bitfile in den AVR passt... gute frage, aber ich denke schon, vom 
3S400E habe ich noch was von 277Kb im Kopf, das wird hier mit dem 
kleinsten FPGA wohl in den AVR passen. Mit Kompression sind die Files 
dann noch mal deutlich kleiner.

Aber wie weiter oben schon gepinselt... es wäre ja schon mal gut zu 
wissen was an M0-M2 für Pegel anliegen und wo dann die restlichen 
Config-Pins dran gehen, aber soweit sind wir ja nicht mehr gekommen.

von Frickel F. (frickelfritze)


Lesenswert?

Sorry, 277KB natürlich.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Aber wie weiter oben schon gepinselt... es wäre ja schon mal gut zu
> wissen was an M0-M2 für Pegel anliegen und wo dann die restlichen
> Config-Pins dran gehen, aber soweit sind wir ja nicht mehr gekommen.

Muss ich die messung beim booten ausführen ,oder tut sich auch was wenn 
das Gerät an ist? Das Netzteil war eine gute idee von dir:

von Johann L. (johann_l)


Lesenswert?

Christian R. schrieb:
> Und die restlichen SPannungen für das FPGA? Insgesamt mögen die das
> nicht wenn da nur

Alles da auch 1,5v und 1,2v .

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Also hier tut sich mit den Scope irgendwie nichts, auch M0-M2 nichts.

von Frickel F. (frickelfritze)


Lesenswert?

1,5V am FPGA? Wen ja wo?

Zu den M0-M2 Pins noch mal... Genau genommen müssen die nur bei 
steigender Flanke von INIT_B einen definierten zustand haben, der 
entscheidet dann welcher Modus aktiv ist. Da aber niemand so verrückt 
sein wird da noch groß Logik drum herum zu basteln, wird man die mit 
PullUp/Down Rs auf einen definierten Pegel legen. In dem "vermuteten" 
Slave Serial Mode sollten M0-M2 eine 1 haben, also alle auf 3,3V.

Um den weg noch etwas kürzer zu machen könnte man noch raus finden von 
wo am FPGA der Pin PROG_B herkommt. Dieser PROG_B muss auf jeden Fall 
als erstes nach einem Reset oder nach dem einschalten einmal kurz auf L 
gehen, das leitet die Konfiguration ein.

Und jetzt noch weiter angenommen PROG_B geht kurz auf L, dann sollte 
danach am CCLK ein Takt zusehen sein der solange vor sich Taktet biss 
DONE auf 1, oder INIT_B auf L geht (CRC Error).

Plan A....
1 - Schauen von wo PROG_B kommt.
2 - Ist PIN DONE = 0 ?
3 - Ist M0-M2 = 1 ?
4 - L-Puls an PROG_B ?
5 - Takt an CCLK ?
6 - Tut sich was an DONE oder an INIT_B ?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Lothar ich hab nichts gemacht
Wenn du wüsstest, wie oft ich diesen Satz schon gehört habe.
In einer Version heißt es dann: und wo kommen jetzt alle die Jumper 
wieder drauf?
Da stellte sich dann raus, dass der tatsächlich "nichts gemacht" hat, 
ausser alle 17 Konfigurationsjumper auf der Leiterplatte abzuziehen.

Johann L. schrieb:
> Christian R. schrieb:
>> Und die restlichen SPannungen für das FPGA?
> Alles da auch 1,5v und 1,2v .
Und die Spannung am AVR ist auch da? Und der Quarz vom AVR schwingt?

Johann L. schrieb:
> ich frage mich jetzt von wo aus wird die Firmware geladen ,wenn ich das
> Console Kabel trenne kommt der Fehlermeldung auf den Großen Monitor
Frickel F. schrieb:
> Ob das Bitfile in den AVR passt... gute frage
Könnte auch sein, dass das Bitfile per USB vom "Großen Monitor" kommt.

Ich hätte hier auch schon ewig mal mit dem Piepser oder dem Auge die 
Verbindungen von den Configpins weg verfolgt und herausgefunden, wo die 
hingehen.

Johann L. schrieb:
> Muss ich die messung beim booten ausführen ,oder tut sich auch was wenn
> das Gerät an ist?
Sieh dir die XAPP453 an:
https://www.xilinx.com/support/documentation/application_notes/xapp453.pdf
Dort das Bild 5 auf der Seite 9. Da ist genau beschrieben, wie die 
Konfiguration abläuft.

Johann L. schrieb:
> das Gerät ist BJ2014  und  ... der Hersteller ist aus Canada
Und der Rest ist geheim? Sag doch einfach, welches Gerät von welchem 
Hersteller das ist, damit man sich selbst mal ein Bild von dem Ding 
machen kann. Und dann auch mal sieht, wie die "Module" da aufgeteilt 
sind.

von Frickel F. (frickelfritze)


Lesenswert?

Lothar M. schrieb:
> Johann L. schrieb:
>> Lothar ich hab nichts gemacht
> Wenn du wüsstest, wie oft ich diesen Satz schon gehört habe.

Ach... Du also auch! 8O :D


Lothar M. schrieb:
> Könnte auch sein, dass das Bitfile per USB vom "Großen Monitor" kommt.

Ja, durchaus möglich. Müsste dann aber auch über den AVR laufen soweit 
ich mir das jetzt zusammen gereimt habe.

Ich denke mal das werden wir mindestens noch erfahren.

Das Bitfile für den kleinen FPGA wären ja nur was um die 54KB, wer weiß.

Lothar M. schrieb:
> Ich hätte hier auch schon ewig mal mit dem Piepser oder dem Auge die
> Verbindungen von den Configpins weg verfolgt und herausgefunden, wo die
> hingehen.

Frickel F. schrieb:
> ... wo dann die restlichen
> Config-Pins dran gehen, aber soweit sind wir ja nicht mehr gekommen.

Ich glaube das hatte ich noch früher auch schon mal gepinselt und ich 
hege auch immer noch die "total abwegige Vermutung" das die wichtigen 
Pins auch zu den Test-Pads gehen, aber was willste machen. ;)

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Und der Rest ist geheim? Sag doch einfach, welches Gerät von welchem
> Hersteller das ist, damit man sich selbst mal ein Bild von dem Ding
> machen kann.

Ich mache mich heute und morgen an die Arbeit ran und werde euch alles 
ausführlich berichten.

von Johann L. (johann_l)


Lesenswert?


von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> 1 - Schauen von wo PROG_B kommt

alvth16244 Pin48-2OE buffer/driver Texas

: Bearbeitet durch User
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> alvth16244 Pin48-2OE buffer/driver Texas
Und weiter?
Am welchem Pin kommt das dort heraus?
Und von wo kommt das Signal am Eingang dieses Buffers?

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> 1,5V am FPGA? Wen ja wo?

Pin 93 1,6V

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Am welchem Pin kommt das dort heraus?

Pin 48 2OE an buffer

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Und die Spannung am AVR ist auch da

Ist da

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Und der Quarz vom AVR schwingt?

Ich suche gerade die Pins

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Takt an CCLK ?

Nichts

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Tut sich was an DONE oder an INIT_B ?

Kein Signal .

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> L-Puls an PROG_B ?

Alles Tod.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Ist PIN DONE = 0 ?
> 3 - Ist M0-M2 = 1 ?

Weist nicht was du meinst Frickel?

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Schauen ob der Reset kommt und für weitere Experimente würde ich da
> gleich noch einen Taster dran löten.

Reset (AVR) hat 3,2VDC sonst nichts,den Taster über Kondensator gegen 
GND  löten?

: Bearbeitet durch User
von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Johann L. schrieb:
> Und der Quarz vom AVR schwingt?

Pin xtal1

von No Y. (noy)


Lesenswert?

Kann es sein das der AVR ggf über die HDMI Schnittstelle das Display 
EDID liest und den FPGA entsprechend läd?
Kommt auf der I2C am HDMI was?
Ggf wird der FPGA nicht geladen weil der AVR nichts gültiges an edid 
einlesen kann?

So streifen am display kenn ich auch wenn die Spannung / reset vom 
display nicht nach Spezifikation eingeschaltet werden.. Aber ist ja ein 
HDMI display? Bzw. Wie wird das Display versorgt?

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Und von wo kommt das Signal am Eingang dieses Buffers

Gute Frage, alle Pins gemessen, nichts da ,ich kann den ALVTH morgen 
Entlöten, eventuell ist er Tod .Für heute reichts , einen Schönen 
Sonntag wünsche ich euch.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Gute Frage, alle Pins gemessen, nichts da
Nicht mal die Versorgungsspannung?

> ich kann den ALVTH morgen Entlöten, eventuell ist er Tod
Warum sollte der auf einmal auch tot sein?

Johann L. schrieb:
> Lothar M. schrieb:
>> Am welchem Pin kommt das dort heraus?
> Pin 48 2OE an buffer
An diesem Pin kommt sicher nichts heraus, weil das ein Steuereingang 
dieses Buffers ist. Du musst weiter suchen, woher das PROB_B Signal 
kommt.

No Y. schrieb:
> Aber ist ja ein HDMI display?
Das HDMI-Signal kommt vom Basisgerät mit dem "Großen Monitor".
Auf dieser Controllerplatine ist dann mit dem  AD9880 ein 
HDMI-parallel-Wandler: https://www.analog.com/en/products/ad9880.html
Das hatten wir aber alles schon mal durchgekaut...

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> Nicht mal die Versorgungsspannung?

Spannung ist da!

Lothar M. schrieb:
> Warum sollte der auf einmal auch tot sein?

Pin 1 Pin 24 Pin 48 Pin 25 sind kurzgeschlossen ,und alle mit prob-B 
verbunden, gegen GND ist nichts (ich meine einen Kurzschluss gegen GND 
haben die nicht)

No Y. schrieb:
> Kann es sein das der AVR ggf über die HDMI Schnittstelle das Display
> EDID liest und den FPGA entsprechend läd?
> Kommt auf der I2C am HDMI was?
> Ggf wird der FPGA nicht geladen weil der AVR nichts gültiges an edid
> einlesen kann?

Es ist so wie der Lothar sagt.

Ich glaube ihr habt den Fehler gefunden,  der C29 ist ein wenig 
verdächtigt, der Kondensator hat 3ohm Widerstand,aber den muss ich 
erstmal Entlöten, das kann von der Leiterplatte kommen.

: Bearbeitet durch User
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> der C29 ist ein wenig verdächtigt
Auf dem Bild sieht der für mich völlig unverdächtig aus.

> der Kondensator hat 3ohm Widerstand,aber den muss ich erstmal Entlöten,
> das kann von der Leiterplatte kommen.
Das sehe ich aus so. Ich denke, die 3 Ohm bleiben auf der Leiterplatte 
zurück.

Johann L. schrieb:
> Johann L. schrieb:
>> Und der Quarz vom AVR schwingt?
> Pin xtal1
Das sind glasklare 8 MHz von einem 3,3V Quarzoszillator.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Ich glaube das hatte ich noch früher auch schon mal gepinselt und ich
> hege auch immer noch die "total abwegige Vermutung" das die wichtigen
> Pins auch zu den Test-Pads gehen, aber was willste machen. ;)

Zumindest die prog-B Pins sind  nicht  mit den Test-Pads verbunden.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> Das sind glasklare 8 MHz von einem 3,3V Quarzoszillator.

Das kommt vom Atmel,  Test-Pads tp0,tp1,t2 sind mit Pins SDA,SCL,und 
XTAL1 verbunden, die Busleitung hat nichts, nur der XTAL1

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Das kommt vom Atmel, ...
> die Busleitung hat nichts, nur der XTAL1
Das Signal am XTAL1 kommt nicht vom AVR, sondern das Taktsingal mit 
8MHz kommt von einem Taktgeber und geht in den AVR hinein.

Der nächste Pin, der dann interessiert, ist der Reset-Pin des AVR.
Johann L. schrieb:
> Reset (AVR) hat 3,2VDC sonst nichts
Dann könnte der AVR loslaufen und an irgendwelchen Pins irgendwann 
irgendwas tun. Wenn er da nichts tut, dann dürfte er inzwischen 
(vermutlich durch Überspannung) kaputt sein. In diesem Fall hast du eh' 
verloren, denn du kommst mit allergrößter Wahrscheinlichkeit nicht an 
das Programm, das im Flash des AVR gespeichert ist (oder besser 
"war").

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> In diesem Fall hast du eh' verloren, denn du kommst mit allergrößter
> Wahrscheinlichkeit nicht an das Programm, das im Flash des AVR
> gespeichert ist (oder besser "war").

Das befürchte ich auch ,hier die Antwort von Ultrasonix bzw.
BK Medical Germany,

leider können wir Ihnen in dieser Angelegenheit nicht mehr helfen. Alle 
Systeme von Ultrasonix sind mittlerweile “out of service”. Wir in 
Deutschland haben keinen Zugriff mehr auf Software oder Ersatzteile.

Vielleicht probieren Sie nochmal die E-Mail Adressen: 
techsupport@bkultrasound.com und techsupport@ultrasonix.com. Von diesen 
Adressen hatte ich noch kürzlich eine Antwort erhalten.

Eventuell könnte man noch versuchen die Firmware mit den Atmel ICE 
auszulesen?
Leider sind die  4 Pins an ALVTH mit den  Leiterbahnen verbunden .
Wahrscheinlich bekommt der ALVTH die ganze Signale vom AVR ,denn die 
Test Pins tp17 und tp20 stehen mit den Atmel in Verbindung.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Hallo, hier habe ich ein  update ,inzwischen hat sich der Support aus 
Kanada gemeldet ,irgedwie war die erste Email-Adresse noch aktiv ,das 
sagen die:


I wish you contacted us and provided further details about the issue to 
get techncial assistance before replacing hardware components.

These type of issues might have been caused by software od settings as 
well. For example, have you tried system recovery to reset machine to 
factory defaults?  I attached system recovery procedure that you may 
want to give it a try.

I highly recommend you check BIOS settings and make sure Primary video 
controller is set to IGFX-GFX0-GPP-PCI per procedure. Since this is an 
old motherboard that CMOS battery might have been dead/replaced and lost 
correct settings.

Also, where did you get those service parts or were they working before 
you replace them? Typically there is no need to change display driver or 
reload firmware, a system recovery should resolve the configuration 
issues unless it is a hardware failure on the Tcon board or display 
itself.

On the other hand, we don't provide proprietary software/firmware to 
third parties. Generally speaking, these type of repairs can be done 
only at the factory that we don't typically do.


Last but not the least, can I get your full contact information for 
service records including your phone? Are you a service technician or 
the owner of the machine?

Regards,
Tech Support-KU


und damit lagt  der Mann  falsch ,die Console ist definitiv defekt!
auch wenn ich die auflösung auf 600x800 pixel einstelle zeigt das 
Display die gleichen Symptome , die Frage von wo aus wird der FPGA 
konfiguriert hat er so beantwortet:

As we don't do component level repairs, I am afraid I cannot help you 
with this.

Regards,
Tech Support-KU

von Johann L. (johann_l)


Lesenswert?

Der Spartan ist unterwegs und wird morgen direkt aus England geliefert 
(rs -components) ich habe den Atmel testweise mit PC-profile und 
Rework-station entfernt und wieder eingelötet ,ohne AVR veliert die 
Console kommunikation mit Mainboard und wird nicht mehr erkannt .

das letzte hier  ich soll mir ein  neues Gerät kaufen obwohl BJ.2014

As this is not a tested configuration, all I can say if you configure 
primary card 1024*768 and connect main LCD to one of the HDMI ports of 
2450 card and configure secondary card 80*600 and connect console 
display to that card it may work which I cannot guarantee.

However, being a first generation machine, console control board also 
may not support this altered configuration and need to be replaced with 
newer control board, which leaves us with no resolution.

Because this first generation Sonix Touch machines have been out of 
service for almost two years now, unfortunately we are unable to provide 
you with service parts that I recommend you replace machine with a newer 
model.

Regards,

: Bearbeitet durch User
von Johann L. (johann_l)


Lesenswert?

Noch was vergessen, das WEB interface wird über das HDMI Kabel generiert 
bzw. das  kommt vom  der Grafikkarte ,der Atmel sendet auch Befehle über 
die Taster(mechanisch) die sich auf  der Console befinden ,der main 
Monitor reagiert auch darauf (touch Grafik erscheint) damit kann ich ein 
defekt an den AVR ausschließen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> ich habe den Atmel testweise mit PC-profile und Rework-station entfernt
> und wieder eingelötet
Es hätte gereicht, den Oszillator kurzzuschließen oder den Reset zu 
aktivieren. Aber offenbar bist du da eher der "handgreifliche" Typ, der 
erstmal tauscht, vor er misst... ;-)

> ohne AVR veliert die Console kommunikation mit
> Mainboard und wird nicht mehr erkannt .
Wie ich sagte: der ist nicht kaputt.

Johann L. schrieb:
> damit kann ich ein defekt an den AVR ausschließen.
Ich vermute, dass auch das FPGA nicht defekt ist.

> "were they working before you replace them?"
Interessante Frage...  ;-)

Johann L. schrieb:
> obwohl BJ.2014
Die Datecodes auf den Bauteilen sagen was anderes. Die passen eher zum 
Windows XP auf http://www.ultrasonix.com/wikisonix/index.php/SonixTOUCH
Und wie viele solcher Boards hast du denn eigentlich?
Zwischen den Bildern
https://www.mikrocontroller.net/attachment/474614/20200915_144906.jpg
und
https://www.mikrocontroller.net/attachment/474765/20201005_090045.jpg
ist zumindest der AD9880 um gut 4 Jahre gealtert.

: Bearbeitet durch Moderator
von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Und wie viele solcher Boards hast du denn eigentlich?

Hi Lothar, nur das eine Board- original, der AD9880 wurde getauscht 2x 
soger der erste war von Ali (war mir nicht sicher),  OS ist Win XP das 
Display habe ich direkt aus Japan Importiert .

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Letzter AD ist von rs components ,den ds90c habe ich auch getauscht.

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Interessante Frage...  ;-)

First I'm glad to have received your mail ,unfurtunately i dont tried 
system recovery ,i'm owner from the machine and also electronics 
engineer and also medic  , i get the parts from rs-components germany 
-official distributor from Analog Devices and the display is the same 
display used by siemens s7 PLC HMI,  made by NEC in Japan,all a new 
parts  .
But it confused me that i dont get the signals on Scope from xilinix 
spartan-fpga ,normaly fpga pins ,prog-b,clk,done ,init-b ,need some 
signals in order to get bitfile from Atmel ,the only signals that i get 
is the picture from scope (attached) on Atmel pin xtal1(24),  but first 
as you said i need to check BIOS Setting ans System recovery .
thank you for your support .


Es hätte gereicht, den Oszillator kurzzuschließen oder den Reset zu
aktivieren. Aber offenbar bist du da eher der "handgreifliche" Typ, der
erstmal tauscht, vor er misst... ;-)
genauso ist es.

: Bearbeitet durch User
von Johann L. (johann_l)



Lesenswert?

Hi Lothar, das neue FPGA ist on board die Streifen sind noch präsent 
,aber irgendwas ist doch anders, zwar läuft der Spartan immer noch ohne 
configs ich bekomme jedoch das Signal an Atmel und zwar die Pins, 
pa3,pa4,pa5 die letzten zwei sind vom AD9880.
Wie ist das eigentlich mit den pull ab und pull down Widerstand wird der 
hier überhaupt benötigt ? Und warum sind die 4 Pins am ALVTH 
kurzgeschlossen, das ergibt hier irgendwie keinen Sinn?

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Repariert! das eine Pin PROG_B war nicht richtig verlötet, es war das 
FPGA!

: Bearbeitet durch User
von Axel R. (axlr)


Lesenswert?

Sehr cool. Fehler merken.
Hersteller den dicken Mittelfinger zeigen? Macht man das? Ich würd's tun 
und überall online über den "zauberhaften Service" derer berichten.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Axel R. schrieb:
> Sehr cool. Fehler merken. Hersteller den dicken Mittelfinger zeigen?
> Macht man das? Ich würd's tun und überall online über den "zauberhaften
> Service" derer berichten.

Vor allem ich soll mir für 24Mille ein neues Gerät kaufen, ich warte 
noch auf das Power supply IC aus China, dann muss ich noch die Auflösung 
kalibrieren, die Buttons reagieren versetzt.

von Duke Scarring (Gast)


Lesenswert?

Johann L. schrieb:
> Vor allem ich soll mir für 24Mille ein neues Gerät kaufen,
Solche Antworten habe ich auch schon von anderen Firmen gehört, nur weil 
sie keine Linuxtreiber für ein älteres Gerät rausrücken wollten. Da wird 
dann die schwarze Liste wieder etwas länger...

Aber schön, das es wieder geht.

Duke

von Joachim B. (jar)


Lesenswert?

Johann L. schrieb:
> Display habe ich direkt aus Japan Importiert

Johann L. schrieb:
> Repariert! das eine Pin PROG_B war nicht richtig verlötet, es war das
> FPGA!

also war das Display vielleicht nicht kaputt?
Das ist bei allem noch unklar, denn das Display hattest du ja zuerst im 
Verdacht.

von Johann L. (johann_l)


Lesenswert?

Joachim B. schrieb:
> also war das Display vielleicht nicht kaputt?
> Das ist bei allem noch unklar, denn das Display hattest du ja zuerst im
> Verdacht.

Hi ,nein das Display war ok ,jetzt habe ich noch einen Ersatz,  danke 
nochmal für eure Mühe!

von Johann L. (johann_l)


Lesenswert?

Vielleicht sollte ich noch den Atmel Clonen ,wenn der den Geist aufgibt, 
kann man garnichts mehr machen. Ist der Lothar irgendwo im Urlaub?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> Repariert!
Glückwunsch.

> Pin PROG_B war nicht richtig verlötet, es war das FPGA!
Was denn nun?
War beim originalen FPGA der Pin nicht verlötet und du hast es beim 
Messen nicht bemerkt? Oder hast du das FPGA getauscht und beim Tauschen 
den Pin des neuen FPGAs nicht richtig angelötet?

Johann L. schrieb:
> Vielleicht sollte ich noch den Atmel Clonen ,wenn der den Geist aufgibt,
> kann man garnichts mehr machen.
Wenn der Hersteller auch nur einen Funken Verstand im Leib hat, dann 
geht das nicht, denn dann hat er irgendeines der Lockbits gesetzt.
Aber du hast ja auch so schon Glück gehabt. Und die Welt gehört den 
Mutigen... ;-)

> Ist der Lothar irgendwo im Urlaub?
Nein, leider nicht.

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Oder hast du das FPGA getauscht und beim Tauschen den Pin des neuen
> FPGAs nicht richtig angelötet?

Richtig, ich hatte das oben erwähnt,  der Spartan wurde ersetzt.

von Joachim B. (jar)


Lesenswert?

Johann L. schrieb:
> Richtig, ich hatte das oben erwähnt,  der Spartan wurde ersetzt.

oh manno (Kommunikation könnte noch deutlich klarer werden)

du schriebst
Johann L. schrieb:
> Repariert! das eine Pin PROG_B war nicht richtig verlötet

irgendwie konnte ich nicht lesen das es DEINE Lötstelle war!

Johann L. schrieb:
> Hi Lothar, das neue FPGA ist on board die Streifen sind noch präsent
> ,aber irgendwas ist doch anders
hier konnte man es erahnen

Was war denn anders?
Es liest sich dann doch so als wenn das alte FPGA kaputt war und nun 
alles OK ist als deine "faule" Löstelle gefunden wurde!

Auch mit dem Display getauscht nicht getauscht, hast du mich zumindest 
in die Irre geführt!
Also bitte deine Kommunikation könnte noch deutlich klarer werden.

Sonst RESPEKT zur Reparatur!

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Joachim B. schrieb:
> Sonst RESPEKT zur Reparatur!
Ein Kapitel hat die Geschichte noch: der Schaltregler ist derzeit 
(vermutlich) auch noch kaputt. Nicht, dass ursprünglich der 
durchgegangen ist und das FPGA auf dem Gewissen hat.

von Johann L. (johann_l)


Lesenswert?

Joachim B. schrieb:
> Was war denn anders?
> Es liest sich dann doch so als wenn das alte FPGA kaputt war und nun
> alles OK ist als deine "faule" Löstelle gefunden wurde

Schaue dir mal bitte die letzten Fotos vom Scope an ,das Signal hatte 
ich an das alte  FPGA bzw. an Atmel  nicht .

Lothar M. schrieb:
> Schaltregler ist derzeit (vermutlich) auch noch kaputt. Nicht, dass
> ursprünglich der durchgegangen ist und das FPGA auf dem Gewissen hat.

Ist unterwegs.(AliExpress)

: Bearbeitet durch User
von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Hallo Freunde, wollte nur mal kurz berichten ,das ganze Board ist Tod , 
der Chinaböller (Schaltregler)ist nach einer Minute durchgegangen(5V) 
und hat mir   so zimmlich alle ICs zerstört ,das FPGA,AD Wandler (Analog 
Device) sämtliche Buffers/Drivers,Tochscreen/Drivers sind kaputt 
,eigentlich alles was so 3,3v versorgung hat.
Das schlimmste, und das hatte  ich die ganze Zeit  befürchtet : der 
Atmel ,offensichtlich ist der Bootloader durch überspannung  beschädigt, 
wobei die 5V dürften für Atmel kein Problem sein.

Die gute Nachricht ist ,das sich die Firmware für das Board auf die 
Festplatte befindet ,nach dem ich die MAX3232 gewechselt hab(unter 
anderem) ,versucht der Computer die Firmware für die Console und das 
FPGA zu updaten .
Die pins 12 und 13 an der MAX323 sind direkt mit den Atmel RXD / TXD 
verbunden ,theoretisch müsste man den Atmel mit universal Bootloader 
flashen ,die pins RXD/TXD aktivieren bzw. danach dürfte das Board wieder 
zum Leben erwecken.

von Johann L. (johann_l)


Lesenswert?

Noch vergessen zu erwähnen das die USB-HUB IC cy7c65640a auf das  Board 
auch  defekt ist ,den habe ich jetzt direkt aus USA bestellt, die IC 
wird für das Trackball(Maus)benötigt.

: Bearbeitet durch User
von Frickel F. (frickelfritze)


Lesenswert?

Johann L. schrieb:
> Ist unterwegs.(AliExpress)

Johann L. schrieb:
> Hallo Freunde, wollte nur mal kurz berichten ,das ganze Board ist Tod ,
> der Chinaböller (Schaltregler)ist nach einer Minute durchgegangen(5V)
> und hat mir   so zimmlich alle ICs zerstört ,das FPGA,AD Wandler (Analog
> Device) sämtliche Buffers/Drivers,Tochscreen/Drivers sind kaputt
> ,eigentlich alles was so 3,3v versorgung hat.

Ich möchte nicht nachträglich unken, aber war das nicht vorauszusehen?

Dann noch die Idee den Schaltregler blind zu tauschen... Hm, ich 
weißnicht...

Bei deinem nächsten versuch würde ich dringend empfehlen den 
Schaltregler alleine auszumessen, also erst von allem anderen trennen, 
Messen, Belasten, Messen und wen die Spannungen Ok sind, dann wieder 
alles zusammen.

Anhaltspunkt zu Trennung könnten die kleineren Ferritdrosseln rund um 
den Spannungsregler sein.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Dann noch die Idee den Schaltregler blind zu tauschen... Hm, ich
> weißnicht...

Grüß dich  Frickel ,gebe ich dir völlig recht! ganz zu schweigen von den 
schlaflosen Nächten.

Ich musste jetzt aus frust mehrere tausend Euro für Hakko Hot-Air 
Station(Weller ist nicht mehr uptodate) und ein Funktionsgenerator 
ausgeben ,auf die 200eur für den Debugger kommt es nicht an , später 
wird der hier eher  nur rumstehen.

von Johann L. (johann_l)


Lesenswert?

Ich muss mich hier im Forum  wiederholt bedanken ,kann ich eventuell 
auch was Spenden?

: Bearbeitet durch User
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johann L. schrieb:
> theoretisch müsste man den Atmel mit universal Bootloader flashen ,die
> pins RXD/TXD aktivieren bzw. danach dürfte das Board wieder zum Leben
> erwecken.
Die Praxis wird dieser Theorie aber einen dicken Strich durch die 
Rechnung machen, weil es keinen "Universal-Bootloader" gibt.
Allerdings dürfte der Atmel wegen der 5V auch nicht kaputt sein. Die 
hält der nämlich auf Dauer aus.

> der Chinaböller (Schaltregler)ist nach einer Minute durchgegangen(5V)
Ja nun. Ich klemme zur Inbetriebnahme eines Schaltreglers nach 
Möglichekit die Verbraucher ab. Und warum erst nach einer Minute?
BTW: das hat mit "Chinaböller" nicht soooo arg viel zu tun. Wenn du alle 
Bauteile weglassen würdest, die aus China kommen, dann wärst du recht 
schnell fertig.

Und wenn du jetzt schon wieder wacker am Tauschen bist: alle die 
"großen" 3,3V-ICs runter. Dann ohne diese ICs den Schaltregler in 
Betrieb nehmen. Zum Test den Regler mit einem Widerstand belasten. Wenn 
der Regler funktioniert, dann die anderen 3,3V-ICs wieder drauf.

Johann L. schrieb:
> kann ich eventuell auch was Spenden?
Frag mal Andreas. Aber auch so ist sicher schon einigen geholfen, wenn 
sie diesen Thread lesen.

von Johann L. (johann_l)


Lesenswert?

Lothar M. schrieb:
> Wenn du alle Bauteile weglassen würdest, die aus China kommen, dann
> wärst du recht schnell fertig.

Hallo Lothar, richtig finger weg von den ICs aus China, entweder sind 
das Restbestände wo nicht mal der Händler weist was er so richtig 
verkauft, oder  sind das  Fake ICs .
Letztens hatte ich ein AliExpress AD9880 ,die Pins VCC waren  gegen GND 
kurzgeschlossen ,ich kaufe nur noch bei Mouser oder RS components.

Lothar M. schrieb:
> Die Praxis wird dieser Theorie aber einen dicken Strich durch die
> Rechnung machen, weil es keinen "Universal-Bootloader" gibt.
> Allerdings dürfte der Atmel wegen der 5V auch nicht kaputt sein. Die
> hält der nämlich auf Dauer aus.

Denke ich aus so ,das habe ich auch oben erwähnt ,der Atmel dürfte noch 
ok sein auch 6v würden ihn  nichts ausmachen,aber der Bootloader ist 
durch  ( denke ich) Stress beschädigt ,ich versuche mal damit 
https://sites.google.com/site/shaoziyang/Home/avr/avr-universal-bootloadere
Ich habe schon einen neue Atmega128 auf das Board angelötet , AVR- ICE 
ist unterwegs, das Board hat ISP 6Pin Anschluss, da kann ich  den  ICE 
dran hängen.

Sollte das ganze keinen Erfolg haben ,nimmt der Mikrocontroller die 
Reise nach Russland auf https://russiansemiresearch.com/
Letzteres wird teuer,um die 900usd.

von Frickel F. (frickelfritze)


Lesenswert?

Moin :)

Lothar M. schrieb:
> Die Praxis wird dieser Theorie aber einen dicken Strich durch die
> Rechnung machen, weil es keinen "Universal-Bootloader" gibt.
Na ja, vielleicht hat er ja Glück, drücken wir feste die Daumen.

Vor Jahren fand ich von einer Deutschen Firma mit 2 S in nahmen die 
komplette Entwicklungsumgebung auf dem gerät selber, seit dem wundert 
mich nichts mehr.

Und ich möchte es mal vorsichtig so beschreiben, das Gerät hatte noch 
mal höhere Anforderungen als die, um was es hier geht.


@Johann, bevor du weiter an dem Atmel Frickelst, wie schon geschrieben 
erst mal die Spannungen wieder in den griff bekommen, dann alles von 
vorne...

Reset
Takt
Zappelt sonnst irgendetwas an den IOs.

Mit Glück hat der AVR wirklich nichts abbekommen und bevor du da 
versuchst was zu Debugen, womit man den Atmel auch schnell tot legen 
kann, würde ich erst mal gucken ob alles andere überhaupt funktioniert. 
Spontan fällt mir der Takt ein, der nicht wie sonnst üblich der AVR 
selber macht, sondern von einem anderen Chip kommt.

Gruß

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Frickel F. schrieb:
> Mit Glück hat der AVR wirklich nichts abbekommen und bevor du da
> versuchst was zu Debugen, womit man den Atmel auch schnell tot legen
> kann

Mache ich auf kein Fall, der alte AVR ist gesichert ,die versuchen 
werden nur an blanken Atmel gemacht, das original wird erstmal 
aufgehoben und falls nötig nach Russland geschickt

Frickel F. schrieb:
> Reset
> Takt
> Zappelt sonnst irgendetwas an den IOs.

Also der Xtal1 bekommt den Takt ,interessant ist aber  Reset ,der IC1 
ist ein tps382 mit watchdog timer ,kurzgeschlossen ist er nicht (der 
Lothar hat schon uns mal darauf aufmerksam gemacht ) möglicherweise ist 
da noch was defekt, der Jumper 1 auf der Plattine ist ebenfalls mir dem 
reset ic verbunden ,da mache ich mir ehrlich gesagt nicht so viel 
Hoffnung, weil der tps382 auch 5v verträgt.

Frickel F. schrieb:
> Spannungen wieder in den griff bekommen, dann alles von vorne..

Läuft alles nur noch über das Lab.Netzteil

: Bearbeitet durch User
von Johann L. (johann_l)


Lesenswert?

Johann L. schrieb:
> Jumper

Ich meine dem Jumper 3(J3)

von Johann L. (johann_l)


Lesenswert?

Es kann auch sein das die tps5431 Mosfets ic irgendwo durch ist ,die 
ist zuständig für die ganze Versorgung 12V input und  5V output für den 
Schaltregler, das ist aber erstmal uninteressant, weil  die 
Stromversorgung  jetzt über das Lab.Netzteil geht, und man kann auch die 
separate Stromversorgung 3,3,v und 1,3v bauen, Platz ist genug.

von Johann L. (johann_l)


Lesenswert?

Frickel F. schrieb:
> Mit Glück hat der AVR wirklich nichts abbekommen

Da kannst du wirklich von Glück reden, weil wenn man so logisch denkt, 
der Bootloader meldet sich erstmal TX/RX ( sonst würde der Computern 
garnicht versuchen die Firmware für die Console und das FPGA  zu 
updaten) also der Computer fragt erstmal nach ob  die Firmware im AVR 
geladen is , erst wenn er die Rückmeldung bekommt startet die Console.
Ich kann natürlich auch falsch liegen, für mich sieht das so aus als ob 
der Bootloader irgendwie den  schaden  hat.

von Johann L. (johann_l)


Lesenswert?

Noch was,ich habe in Windows hidden folder ,ein Ordner mit 
Lizenzen-files gefunden,es kann durchaus sein ,das die Ultrasonix 
Firmware im AVR-Bootloader erstmal danach fragt ,bekommt aber die 
Antwort mit  Lizenzen nicht.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Ich grüße euch.
Ich versuche gerade mühsam die Verbindung über Atmel 10 Pins-Jtag und 
AVR herzustellen.
Inzwischen darf ich euch mein Frustkauf vorstellen. Ein Tabor WW2074 
Funktionsgenerator und die Hakko FR 810b. Ich werde demnächst beide 
Geräte aufmachen und noch ein paar Fotos davon hier veröffentlichen. Mal 
sehen was so ein 9000eur teures Geräte zu bieten hat.

von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

Ich Grüße euch ,ich melde mich jetzt da das AVR Programm endlich fertig 
ist,
der   TNT hat fast 5 Wochen gebraucht das Päckchen nach 
Russland-Stadt-Ufa  zu befördern,
davon hat man  noch 2 Wochen für die Zollerklärung (ich musste die 
Zolldokumenten 3x ausfüllen) gebraucht .
Ich  glaube es wäre  leichter die Nuklearwaffen aus China nach Korea  zu 
importieren,jedenfalls rate ich jedem davon ab die Paketen per TNT nach 
Russland zu versenden (ich hätte lieber die Empfehlung befolgt! use only 
UPS!) Die zweite Komplikation,   die   JTAG- Pins die sich auf das Board 
befinden,Miso,Mosi und SCK sind gar-nicht  mit dem AVR verbunden (außer 
VCC und GND)  ,daher musste ich mir  noch ein Yamaichi(Japaner) 
Programmieradapter bestellen ,ohne den Adapter war auch nicht möglich 
dem Universal-Bootloader zu testen .
Ich habe das Programm hier hochgeladen BIN. Datei .
Ich erwarte noch 2 AVRs die fertig programmiert sind ,die Reverse 
Engineering  Firma hat mir noch das  original Programm-file per E-Mail 
gesendet.
Eventuell kann einer hier noch was erkennen,das Programm lässt sich 
garnicht flashen ?sorry hab das falsche Bild hochgeladen.Die BIN. Datei 
ist das Original Recovery Programm, bzw. laut russiansemiresach wurde 
der Bootloader und die flash files wieder belebt .

: Bearbeitet durch User
von Fusebiter (Gast)


Lesenswert?

Fuse M103C gesetzt?

von Johann L. (johann_l)


Lesenswert?

Fusebiter schrieb:
> Fuse M103C gesetzt?

Hi ,danke für deine Antwort, habe ich nicht ,normalerweise ist das im 
bin.datei eingestellt, oder irre ich mich etwa?

: Bearbeitet durch User
von Johann L. (johann_l)



Lesenswert?

Ich glaube das Gerät (Ultrasonix) ist verflucht,irgendwie hat sich jetzt 
das JTAG- interface  im Atmel-ICE ausgeschaltet ,der Meister vom 
reverse engineering sagt  folgendes dazu:
it is most likely because you are not able to programming the chip 
properly
you need to find a talent who is able to help you write the MCU in a 
correct way
,die Fuses habe ich nach der Anweisung eingestellt ( Foto ). Man hat mir 
noch flash und EEPROM datei getrennt geschickt.

: Bearbeitet durch User
von Johann L. (johann_l)


Angehängte Dateien:

Lesenswert?

So Inzwischen funktioniert die Firmware  bzw. die zwei gecrackten 
Mikrocontroller sind angekommen ,man kann den Atmel mit so ein  TL866 
auslesen und wieder beschreiben, warum das  ICE beim Programmierer 
gestreikt hat ist mir ein  rezel.

von Andrew T. (marsufant)


Lesenswert?

Respekt Johann,
gute Leistung, schönes Gerät!

von Johann L. (johann_l)


Lesenswert?

Ich meinte ein Rätsel, allerdings habe ich noch ein Problem mit dem 
Touchscreen, kurz nachdem ich das Display kalibriert habe, ist die Touch 
Funktion ausgefallen .Der Übeltäter ist mit aller Wahrscheinlichkeit der 
ads7843e die Spannung zwischen y+ y- und x+ x-  ist komplett ausgefallen 
0V ,ich hatte leider nur noch ein ads7846 da ,der  ist aber mit der 
Software nicht kompatibel ,eigentlich sind die beiden fast 
baugleich,nur der ads7846 hat noch die Batterieüberwachung plus request 
Funktion.

: Bearbeitet durch User
von Johann L. (johann_l)


Lesenswert?

Andrew T. schrieb:
> Respekt Johann,
> gute Leistung, schönes Gerät!

Danke Andrew

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.