Moin,
ich habe in der Simulation SLVs und möchte die 1:1 binär in eine Datei
schreiben. Das sind ziemlich viele, die sollen also einfach nacheinander
in der Datei stehen.
Jetzt habe ich das so probiert:
1 | signal daten: std_logic_vector(7 downto 0):=(others => '0');
|
2 | type slv_file is file of std_logic_vector(7 downto 0);
|
3 | file datenfile: slv_file open write_mode is "Datei.bin";
|
Und dann im getakteten Prozess:
1 | write(datenfile, daten);
|
Die Datei wird auch geschrieben, aber sie enthält nicht den SLV daten
sondern andere Werte die ich mir nicht erklären kann. Eigentlich nur
x"02" und x"03".
Eine Fehlermeldung bekomme ich ebenfalls nicht.
Tja, woran könnte das liegen und wie mache ich das richtig?
Vielen Dank!