Forum: FPGA, VHDL & Co. Welchen lattice ic


von Michael H. (h_m)


Lesenswert?

Hallo,

Vielleicht ist hier jemand der einen guten Überblick über die lattice 
fpga oder cplds hat.

Ich habe momentan einen machox2 qfn32 mit internen oszilator der bis auf 
ein paar Kondensatoren keine externe beschaltung benötigt. Allerdings 
ist der soweit ich weiß nur für 3,3 Volt Betrieb.

Ich suche jetzt aber einen fpga/cpld von lattice der mit 5V Versorgung 
ist.  Und am besten ebenfalls keine externe Beschaltung braucht wie mein 
Macho 2. Und möglichst wenig i/o pins hat.

Denn ich möchte eigentlich nur zwei Signale auswerten spur a und b die 
mit 5v ankommen. Und mit 5v weiter gehen sollen.

Welche Familie wäre denn dafür am besten geeignet?

Freundliche Grüße Huber

von Duke Scarring (Gast)


Lesenswert?

Michael H. schrieb:
> Allerdings
> ist der soweit ich weiß nur für 3,3 Volt Betrieb.
Nur 3,3V stimmt so nicht. Die I/Os kann man mit 1,2V, 1,5V, 1,8V, 2,5V 
und 3,3V betreiben.


> von lattice
> mit 5V Versorgung
> keine externe Beschaltung
> wenig i/o pins
>
> Welche Familie wäre denn dafür am besten geeignet?
Wie wäre es mit einem betagten GAL16V8?
Der kommt inzwischen von anderen Herstellern, ist aber noch neu 
erhältlich.
Zumindest hat Lattice die mal hergestellt:
https://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/GAL/GAL16V8883DataSheet.ashx

> nur zwei Signale auswerten spur a und b die
> mit 5v ankommen. Und mit 5v weiter gehen sollen.
Also zwei Eingänge und ein Ausgang?
Bei einer rein kombinatorischen Verknüpfung gibt es da ja nicht so viele 
sinnvolle Varianten.
Vielleicht baust Du Dir das auch aus diskreter Logik auf?
Von TI gibt es die SN74AHC1Gxx-Serie mit jeweils nur einem Gate im Chip:
https://www.ti.com/lit/scya049a

Duke

von Christoph Z. (christophz)


Lesenswert?

Michael H. schrieb:
> Denn ich möchte eigentlich nur zwei Signale auswerten spur a und b die
> mit 5v ankommen. Und mit 5v weiter gehen sollen.

Das mit 5V Pegeln ist im 21. Jahrhundert so ein Thema...

Für die Eingangssignale ist das ja noch machbar, externe clamping Dioden 
und Strombegrenzungswiderstände ist z. B. einfach und zuverlässig. Auch 
mal mit Spice simulieren, da z. B. die Diode mit ihrer parasitären 
Kapazität die Quelle belastet.

Für den Ausgang kommt es etwas auf die Frequenz an. Bis zu einigen 
Kiloherz sind NPN Transistoren oder N-FETs mit Pull-up Widerständen 
brauchbar. Darüber brauchts Level-Shifter, Gatter aus der HCT 
Serie/vergleichbar oder Optokoppler mit Push-pull Ausgang.

von Holger (Gast)


Lesenswert?

5 V tolerant I/O for LVCMOS 3.3, LVTTL, and PCI interfaces

https://www.latticesemi.com/en/Products/FPGAandCPLD/ispMACH4000VZ


 The ispMACH 4000V/Z family integrates up to 512 macrocells that support 
individual clock reset, preset and clock enable controls that operate at 
SuperFAST™ frequencies of up to 400 MHz.

1.8 V core for low dynamic power
5 V tolerant I/O for LVCMOS 3.3, LVTTL, and PCI interfaces
JTAG In-System Programmable (ISP™)


Gruss Holger.

von xyz (Gast)


Lesenswert?

> ispMACH 4000V/Z

Braucht man fuer die nicht mittlerweile eine kostenpflichtige
Lizenz fuer die Software?

von Bürovorsteher (Gast)


Lesenswert?

> Braucht man fuer die nicht mittlerweile eine kostenpflichtige
> Lizenz fuer die Software?
Genauso isses.
Deshalb nimmt man in diesem Fall einen XC95xxXL von Xilinx und eine ISE 
14.7.

von Bürovorsteher (Gast)


Lesenswert?

Oszillator außen dranhängen. Costa nix.

von 🍅🍅 🍅. (tomate)


Lesenswert?

Lattice stinkt!
Selbst für uralt obsoleten CPLDs, die man von Schrottplatinen ernten 
kann, kostet die Software 600€/Jahr.
Daher ist Lattice für mich tot, Xilinx/Altera haben das gleiche im 
Angebot, nur gratis.

von Michael H. (h_m)


Lesenswert?

Also ich hätte mir jetzt diesen ausgesucht LC4064V kann man den mit 
Diamond programmieren?

Und gibt es eine vernünftige Lösung die Signale an einen atmega88 
weiterzugeben.  Damit dieser diese zuverlässig erkennt?

von Duke Scarring (Gast)


Lesenswert?

Michael H. schrieb:
> Also ich hätte mir jetzt diesen ausgesucht LC4064V
Also einen aus der ispMach4000V-Serie.

> kann man den mit Diamond programmieren?
Nein, nicht das ich wüßte.
Dazu braucht man ispLEVER Classic und das gibt es nur mit einer 
Subscription License:
https://www.latticesemi.com/en/Products/DesignSoftwareAndIP/FPGAandLDS/ispLEVERClassic

Die Lizenz kostet momentan $590:
https://www.latticestore.com/products/tabid/417/searchid/1/searchvalue/lsc-sw-isplever/default.aspx

> Und gibt es eine vernünftige Lösung die Signale an einen atmega88
> weiterzugeben.  Damit dieser diese zuverlässig erkennt?
Ja gibt es.
Für Dein einziges Ausgangssignal nimmst Du einen normalen IO-Pin vom 
AVR.

Genauere Auskünfte könnte man erteilen, wenn Du verrätst, welche 
Information in dem Signal steckt und wie diese Information ausgewertet 
werden soll.

Duke

von Bürovorsteher (Gast)


Lesenswert?

> > > kann man den mit Diamond programmieren?
> Nein, nicht das ich wüßte.

Programmieren kann man den mit Diamond schon - aber der Jedec-File muss 
mit ispLever erzeugt werden.

von xyz (Gast)


Lesenswert?

Gut das ich meine Classic-Lizenzen immer archiviert habe.
Ich habs doch geahnt das die mal teuer werden.

von Duke Scarring (Gast)


Lesenswert?

xyz schrieb:
> Gut das ich meine Classic-Lizenzen immer archiviert habe.
Mit dem Mach4 hatte ich das erste und das letzte mal vor ca. 2 Dekaden 
an der Hochschule zu tun. Nur aus Neugierde: Was haben die Lizenzen denn 
früher mal gekostet?

Duke

von Norbert (Gast)


Lesenswert?

Ich habe auch gerade nach den Lizenzen geschaut. Die 
Entwicklungsumgebung ist doch nach wie vor kostenlos? Lediglich für ein 
paar Modelle wie bei den ECPs fallen Lizenzgebühren an
https://www.latticesemi.com/en/Support/Licensing

Andere Hersteller wie Xilinx machen es doch genauso
https://www.xilinx.com/products/design-tools/vivado/vivado-webpack.html#architecture
Es wird kein Virtex unterstützt, kein Zynq RFSoC, eine kleine Menge an 
Kintex und so weiter

von 🍅🍅 🍅. (tomate)


Lesenswert?

Nur ist das bei denen genau umgekehrt wie bei Xilinx/Altera.
Xilinx/Altera, da kostet die Lizenz für die neuen und grossen FPGAs, die 
man als Bastler eh nicht gescheit benutzen kann. Die kleinen Dinger sind 
gratis und der alte Kram auch.

Bei Lattice bekommt man zwar Lizenz für die akutellen FPGAs, aber wehe 
man will was altes von denen benutzen oder man hat was gebaut und die 
erkären das plötzlich für alt, dann darf man denen 600€/Jahr zahlen, 
damit man z.B ein antikes IspMach 42256 Board oder ausgelötete Mach231 
weiter nutzen kann.

: Bearbeitet durch User
von Norbert (Gast)


Lesenswert?

Ich nehme an, auch die anderen Hersteller können das jederzeit 
Widerufen. Nur weil sie es nicht getan haben bedeutet das nicht, das sie 
es nicht doch irgendwan tun werden ;)
Das einzige was da hilft sind OpenSource Toolchains, und da ist man bei 
Lattice FPGAs wohl am weitesten. Die iCE40 sind komplett unterstützt und 
bei den ECP5 ist man wohl auch sehr weit und bei den NX teilen gibt es 
wohl auch schon funktionierende Sachen.
Bei den Artix scheinen wohl auch einige Modelle zu gehen, aber auch da 
nur Teile von den FPGAs wie Routing und Luts.

von xyz (Gast)


Lesenswert?

> Was haben die Lizenzen denn früher mal gekostet?

Ja ganz exakt nichts.
So fuer isp2016, isp2032 und isp2032e.

von User32 (Gast)


Lesenswert?

Michael H. schrieb:
> Ich suche jetzt aber einen fpga/cpld von lattice der mit 5V Versorgung
> ist.

Nimm Levelshifter.
Es gibt winzig kleine, braucht kaum Platz auf der Platine und kostet 
weniger als ein uralt CPLD. Ist auch i.d.R. gleich noch besserer ESD 
Schutz.

von Frank K. (fchk)


Lesenswert?

Michael H. schrieb:

> Und gibt es eine vernünftige Lösung die Signale an einen atmega88
> weiterzugeben.  Damit dieser diese zuverlässig erkennt?

Meine Empfehlung: Nimm einen moderneren Mikrocontroller. Die neuen AVRs, 
die nach der Atmel-Zeit herausgekommen sind (z.B. AVR128DA*), können 
auch mit geringeren Betriebsspannungen die volle Taktfrequenz erreichen, 
und sie haben eine CLC-Einheit für konfigurierbare Logik, wo Du logische 
Verknüpfungen in Hardware programmieren kannst, wie ein CPLD, aber mit 
im Prozessor mit drin. Die AVRs haben leider nur eine solche Einheit. 
PIC18Q (z.B. PIC18F27Q84) haben bis zu 8 dieser Einheiten. Damit kann 
man dann schon einiges machen.

fchk

PS: 
https://www.microchip.com/en-us/products/microcontrollers-and-microprocessors/8-bit-mcus/peripherals/core-independent-peripherals/configurable-logic-cell

: Bearbeitet durch User
von Thomas W. (diddl)


Lesenswert?

Rote T. schrieb:
> Nur ist das bei denen genau umgekehrt wie bei Xilinx/Altera.
> Xilinx/Altera, da kostet die Lizenz für die neuen und grossen FPGAs, die
> man als Bastler eh nicht gescheit benutzen kann. Die kleinen Dinger sind
> gratis und der alte Kram auch.

Für mich ein ganz klares Signal von Lattice:

"Wir wollen euch kleine Bastler nicht mehr!!"
"Wir wollen nur noch kommerzielle Abnehmer und Anwender!"


Dem weine ich nicht nach.
Ich wechsle auf einen anderen Anbieter.

von Bürovorsteher (Gast)


Lesenswert?

> Für mich ein ganz klares Signal von Lattice:
> "Wir wollen euch kleine Bastler nicht mehr!!"
> "Wir wollen nur noch kommerzielle Abnehmer und Anwender!"

Da offenbar ein Bedarf für CPLD in BGA-Gehäusen besteht, hat Lattice bei 
den ispMACH4000 nochmal entsprechend aufgebohrt. Dazu musste ispLever 
noch mal erweitert werden. Ich könnte mir vorstellen, dass Lattice nicht 
auf den Kosten sitzenbleiben wollte.
Bei aller Polemik: die Halbleiterindustrie scheint nicht einmal die 
Autohersteller zu brauchen. Dass die Bastler hinten runterfallen, darfst 
du nicht persönlich nehmen. Das ist dasselbe, als ob du während des 
Spaziergangs unabsichtlich eine Ameise breittritts.

von xyz (Gast)


Lesenswert?

> Xilinx/Altera, da kostet die Lizenz für die neuen und grossen FPGAs, die
> man als Bastler eh nicht gescheit benutzen kann. Die kleinen Dinger sind
> gratis und der alte Kram auch.

Wenn wir schon in der Historie stochern: ;-)

Leider nicht ganz richtig. Ganz frueher brauchte man "sowieso"
Third-Party-Tools fuer Xilinx. Z.B. Leonardo oder Synplify.
Da lief dann nur Route and Place ueber Xilinxtools.
Was Altera da an Altlasten hat, weiss ich nicht genau.
Zumindest kann ich mit Leonardo u.a. auch fuer alte Alterafamilien
eine Netzliste synthetisieren.
Und umsonst ist Leonardo auch (nie) nicht (gewesen).

von René F. (Gast)


Lesenswert?

Bürovorsteher schrieb:
> Bei aller Polemik: die Halbleiterindustrie scheint nicht einmal die
> Autohersteller zu brauchen.

Ist auch kein Wunder, Consumer-Ware wie beispielsweise Laptops oder 
Spielekonsolen, werden dann doch noch etwas häufiger gebaut als Autos. 
Die PS4 wurde beispielsweise 112 Millionen mal verkauft. Zeig mir mal 
ein Automodell was da ran kommt ;)

von xyz (Gast)


Lesenswert?

> CLC-Einheit

Wenn man mit 2 bzw. 4 zufrieden ist:
PIC16F1501/1503/1507: 2
PIC16F1508/1509: 4

Natuerlich zur Laufzeit reprogrammierbar.
Dazu gab es frueher noch einen separaten (kleinen) CLC-Designer.
Heute muss man dann gleich mit MPLAB-X rumfuhrwerken.

von Alfred T. (atererus)


Lesenswert?

Ich habe hier noch einigen Altera Flex und vielleicht APEX TQFP mit 5V 
tolerantes I/O, neu aber 15 Jahre alt. Nicht fuer commercielle zwecke, 
aber ein Open Source gemeinschaft Projekt (zum lernen) waere allerdings 
im sinn der Sache. Auch austausch mit etwas was zu so einem Projekt 
fuehrt ist OK.

Die Flex oder APEX Im simulation entwerfen und danach mit 30 Tagen 
evaluation Lizenz place & route mueste das klappen. Es koennte sogar so 
sein das es Quartus versionen gibt die frei sein, da muss ich mal 
nachsachuen wenn ein Interesse daran ist.

Am sonsten gibt es noch die pass-gates (5V <--> 3V3 LVTTL) oder richtige 
spannung Umwandler wie zum Beispiel 1T45 (
https://www.digikey.de/products/de/integrated-circuits-ics/logic-buffers-drivers-receivers-transceivers/704?k=1t45
)

: Bearbeitet durch User
Beitrag #6669146 wurde vom Autor gelöscht.
Beitrag #6669200 wurde vom Autor gelöscht.
von FPGaaah (Gast)


Lesenswert?

Also bei Lattice gibt es z.B. für die ice40 kostenlos.
Neuerdings ist da sogar Modelsim dabei.
Bei den ice40 gäbe es noch auch noch ein paar Bausteine im 
bastelfreundlichen TQFP-Gehäuse.
Müsstest du mal selber gucken, was es da so alles gibt.
Dieser Baustein hier, wäre der einzige, der Beispiel auch 2 PLLs hätte, 
falls du sie brauchst:
   ICE40HX4K-TQ144

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.