Forum: Analoge Elektronik und Schaltungstechnik Frequenzstabiler, einstellbarer Oszillator


von Thomas S. (solt)


Lesenswert?

Ich möchte einen möglichst preisgünstigen, frequenzstabilen und 
einstellbaren Oszillator bauen und bin hierbei auf zahlreiche Angebote 
gestoßen, die auf Arduino basieren ( 
https://de.aliexpress.com/item/32816733543.html?src=google&src=google&albch=shopping&acnt=494-037-6276&slnk=&plac=&mtctp=&albbt=Google_7_shopping&albagn=888888&isSmbAutoCall=false&needSmbHouyi=false&albcp=1705854617&albag=67310370915&trgt=1460151206306&crea=de32816733543&netw=u&device=c&albpg=1460151206306&albpd=de32816733543&gclid=EAIaIQobChMI2ODMl8-O9AIVGOR3Ch1kkgANEAQYBCABEgL3w_D_BwE&gclsrc=aw.ds&aff_fcid=4a36d8650c5545bca848221827a1d005-1636577374442-09121-UneMJZVf&aff_fsk=UneMJZVf&aff_platform=aaf&sk=UneMJZVf&aff_trace_key=4a36d8650c5545bca848221827a1d005-1636577374442-09121-UneMJZVf&terminal_id=cc9187851819472c9f7e8440249acfe0 
und 
https://de.aliexpress.com/item/1005001319935350.html?src=google&src=google&albch=shopping&acnt=494-037-6276&slnk=&plac=&mtctp=&albbt=Google_7_shopping&albagn=888888&isSmbAutoCall=false&needSmbHouyi=false&albcp=1705854617&albag=67310370915&trgt=1460151206306&crea=de1005001319935350&netw=u&device=c&albpg=1460151206306&albpd=de1005001319935350&gclid=EAIaIQobChMI2ODMl8-O9AIVGOR3Ch1kkgANEAQYAyABEgIzefD_BwE&gclsrc=aw.ds&aff_fcid=0de445d87e7140bc99f28afdd4322b3e-1636577409672-04119-UneMJZVf&aff_fsk=UneMJZVf&aff_platform=aaf&sk=UneMJZVf&aff_trace_key=0de445d87e7140bc99f28afdd4322b3e-1636577409672-04119-UneMJZVf&terminal_id=cc9187851819472c9f7e8440249acfe0 
). Kann man die angebotenen Module direkt für den angegebenen Zweck 
verwenden oder brauche ich noch eine Programmierumgebung? Wenn ja, was 
kostet die nötige Hard- und Software?

Welche anderen Schaltkreise, die keine Programmierung benötigen, gibt 
es, mit denen man preisgünstige, frequenzstabile, einstellbare 
Oszillatoren mit einer maximalen Frequenz von einigen Megahertz bauen 
kann?

von Rolf S. (audiorolf)


Lesenswert?

Analog Devices - DDS-Chip mit programmierbarem Oszillator und Filter.

von Forist (Gast)


Lesenswert?

Thomas S. schrieb:
> ... zahlreiche Angebote gestoßen, die auf Arduino basieren ...

Eindrucksvoll - deine Familiengeschichte. Hätten es nicht auch simple 
Links zu den Angeboten getan oder hängst du da per Provision mit drin?
https://de.aliexpress.com/item/32816733543.html
https://de.aliexpress.com/item/1005001319935350.html

von Jobst M. (jobstens-de)


Lesenswert?

Moin!

Frequenzbereich?

Gruß
Jobst

von ... (Gast)


Lesenswert?

Du schreibst:
> bauen
Du meinst wohl eher zusammenstecken.

Frueher nahm man einen OPV, ein Doppelpotentiometer, tat noch
einige Bauelemente dazu und hatte einen Wienbrueckengenerator.
Der war:
> preisgünstig, frequenzstabil und einstellbar

Oder mit 2 OPV einen mit einer Steuerspannung durchstimmbaren
Dreieck/Rechteckgenerator.

Kein Wunder wenn mit solchen Armleuchtern Deutschland zur
industriellen Lachnummer verkommt.

von Thomas S. (solt)


Lesenswert?

Ein Wienbrückenoszillator ist nicht so frequenzstabil wie ein 
Quarzoszillator und ich möchte nach Möglichkeit eine Lösung, die auf 
einen solchen beruht.
Auch die Lösung mit den zwei Operationsverstärkern und den mit einer 
Steuerspannung durchstimmbaren Oszillator ist nicht so stabil wie ein 
Quarzoszillator.

von Christian S. (roehrenvorheizer)


Lesenswert?

... schrieb:
> Kein Wunder wenn mit solchen Armleuchtern Deutschland zur
> industriellen Lachnummer verkommt.

Ich möchte doch an die Fachkorpulenz der Foristen appellieren, um das 
Niveau der gegebenen Antworten möglichst hoch oder wenigstens über 
Grundwasserspiegel zu halten.

Die Platine aus dem ersten Link benötigt wohl noch die Ansteuerung über 
eine beliebige Mikrocontroller-Schaltung, die die benötigten digitalen 
Signale bereit stellt, um das DDS-IC zur Ausführung seiner gewünschten 
Aufgaben zu bewegen.

Die zweite Schaltung bietet das alles bereits zusammen, also mit Tasten 
zum Einstellen der gewünschten Frequenz und vielelicht noch anderem, mit 
Mikrocontroller und Display, damit man sieht, was man überhaupt 
eingestellt hat. Das wäre also die komfortable Fertiglösung. Wie das 
daher kommt, ob man das noch bauen muß oder das Programm eingeben, habe 
ich nicht nachgelesen. Wenn es fertig aufgebaut daher kommt, sollte auch 
die Software im Mikrocontroller bereits drinnen sein.


mfG

von Harald W. (wilhelms)


Lesenswert?

Thomas S. schrieb:

> Ein Wienbrückenoszillator ist nicht so frequenzstabil wie ein
> Quarzoszillator und ich möchte nach Möglichkeit eine Lösung, die auf
> einen solchen beruht.

Dann bleibt Dir wohl nur der DDS-Generator. Der erreicht allerdings
nicht den niedrigen Klirrfaktor eines Wienbrückengenerators. Da
Deine Anwendung anscheinend geheim ist, ist es recht schwierig,
eine für Dich passende Lösung zu finden.

von Axel S. (a-za-z0-9)


Lesenswert?

Thomas S. schrieb:
> Ein Wienbrückenoszillator ist nicht so frequenzstabil wie ein
> Quarzoszillator und ich möchte nach Möglichkeit eine Lösung, die auf
> einen solchen beruht.

Wenn du nur "nach Möglichkeit" glaubst, die Stabilität eines 
Quarzoszillators zu benötigen, dann benötigst du sie nicht.

Überhaupt wären ein paar erklärende Worte zum Einsatzzweck oder 
hilfsweise zu Frequenzbereich und Kurvenform sinnvoll gewesen.

So kann man die zwar die eierlegende Wollmilchsau DDS empfehlen. Aber 
wahrscheinlich ist das Perlen vor die Säue.

von Pandur S. (jetztnicht)


Lesenswert?

Ich enpfehle eine Generator wie zB von siglent oder rigol :
https://www.batronix.com/versand/funktionsgeneratoren/index.html

Alles andere wird eh nichts.

: Bearbeitet durch User
von Thomas S. (solt)


Lesenswert?

Mir ist Frequenzstabilität wichtiger als der perfekte Sinus, weshalb 
eine quarzbasierte Lösung für mich 1. Wahl wäre! Natürlich bin ich auch 
für andere Lösungen, die die Frequenzstabilität eines Quarzoszillators 
erreichen, offen.

Im Übrigen ist über dem Artikel auf 
https://de.aliexpress.com/item/1005001319935350.html keine Beschreibung 
vorhanden, selbst Angaben zur Betriebsspannung fehlen!

: Bearbeitet durch User
von Thomas (Gast)


Lesenswert?

Thomas S. schrieb:
> Mir ist Frequenzstabilität wichtiger als der perfekte Sinus, weshalb
> eine quarzbasierte Lösung für mich 1. Wahl wäre

Kannst du das auch in Zahlen ausdrücken? Wieviel ppm und über welchen 
Temperaturbereich?

von c-hater (Gast)


Lesenswert?

Thomas schrieb:

> Kannst du das auch in Zahlen ausdrücken? Wieviel ppm und über welchen
> Temperaturbereich?

Da fehlt noch (mindestens) eine weitere wesentliche Kenngröße im 
Fragenkatalog: Wie genau muss die Zielfrequenz einstellbar sein?

von 2aggressive (Gast)


Lesenswert?

Thomas S. schrieb:
> Im Übrigen ist über dem Artikel auf
> https://de.aliexpress.com/item/1005001319935350.html keine Beschreibung
> vorhanden, selbst Angaben zur Betriebsspannung fehlen!
Guckstdu in der auf der Webseite vorhandenen Beschreibung:
"Dieser generator hat 78L05 spannung regulieren. Bei 200MA, eingang 
spannung 8-9V wäre die ideal spannung.
Eingangs spannung von 12V kann nur verwendet werden für kurze zeit 
prüfung, sonst wird verursachen wärme zu bord."

von Harald W. (wilhelms)


Lesenswert?

2aggressive schrieb:

> "Dieser generator hat 78L05 spannung regulieren. Bei 200MA, eingang
> spannung 8-9V wäre die ideal spannung.
> Eingangs spannung von 12V kann nur verwendet werden für kurze zeit
> prüfung, sonst wird verursachen wärme zu bord."

Nun, diese Beschreibung ist besser lesbar, als das oft hier im
Forum verwendete Deutsch. :-)

von Rainer V. (a_zip)


Lesenswert?

2aggressive schrieb:
> "Dieser generator hat 78L05 spannung regulieren. Bei 200MA, eingang
> spannung 8-9V wäre die ideal spannung.
> Eingangs spannung von 12V kann nur verwendet werden für kurze zeit
> prüfung, sonst wird verursachen wärme zu bord."

Ja, ich finde auch, dass das Angebot hundertpro passt! Schade, dass 
weder Klirrfaktor noch Frequenzgenauigkeit und -stabilität angegeben 
sind...war das dem TO doch besonders wichtig. Also doch was anderes...
Gruß Rainer

von Olaf (Gast)


Lesenswert?

> Oszillatoren mit einer maximalen Frequenz von einigen Megahertz bauen
> kann?

74HC4046

Oder einfach nur den Timerausgang irgendeines Mikrocontrollers?

Olaf

von W.S. (Gast)


Lesenswert?

Thomas S. schrieb:
> Ein Wienbrückenoszillator ist nicht so frequenzstabil wie ein
> Quarzoszillator und ich möchte nach Möglichkeit eine Lösung, die auf
> einen solchen beruht.

Thomas S. schrieb:
> Kann man die angebotenen Module direkt für den angegebenen Zweck
> verwenden oder brauche ich noch eine Programmierumgebung?

Also, wenn du einen quarzstabilen Generator machen willst, dann wirst du 
um einen µC nicht herumkommen, der die vielstellige Eingabe der 
Sollfrequenz in geeignete Einstellungen für die eigentliche 
signalgenerierende Schaltung übersetzt. Da ist kein Platz für ein 
Potentiometer zur Frequenzeinstellung.

Also entweder sowas wie eine Wienbrücke, wo es rein analog zugeht und 
die Abstimmung per Poti erfolgt - oder eine auf PLL oder DDS basierende 
Lösung, die aber weitestgehend digital funktioniert und folglich auch 
Programmierung benötigt.

Das hättest du dir aber selber zusammenreimen können.

W.S.

von Thomas S. (solt)


Lesenswert?

Gibt es nicht auch digitale Lösungen, bei denen man die Frequenz mit 
DIP-Schaltern einstellen kann?

von ... (Gast)


Lesenswert?

> mit DIP-Schaltern

Ja, binaer kodiert und richtige Kippschalter sorgen fuer
das 70er Jahrefeeling des letzten Jahrtausends beim
Umgang mit digitalen Schaltungen.
Dazu noch genauso viele echte Anzeigelaempchen.

Klar geht das. Schalter-> Controller -> DDS.

von Egon D. (Gast)


Lesenswert?

W.S. schrieb:

> Also, wenn du einen quarzstabilen Generator machen willst,
> dann wirst du um einen µC nicht herumkommen, der die
> vielstellige Eingabe der Sollfrequenz in geeignete
> Einstellungen für die eigentliche signalgenerierende
> Schaltung übersetzt. Da ist kein Platz für ein Potentiometer
> zur Frequenzeinstellung.

Klingt logisch -- stimmt aber nicht unbedingt.

Man kann nämlich auch vom Poti auf einen ADC gehen und
dessen (parallele binäre) Ausgänge auf einen programmier-
baren Teiler führen. Der Frequenzteiler ist selbstredend
Teil einer PLL. Drehen am Poti ändert den Teilerfaktor
und damit die Frequenz...

Die Poti-Spannung kann man analog ummodeln und zur
Vorabstimmung des VCO verwenden -- dann hat die PLL
weniger zu tun.

von Olaf (Gast)


Lesenswert?

> Gibt es nicht auch digitale Lösungen, bei denen man die Frequenz mit
> DIP-Schaltern einstellen kann?

Natuerlich. Z.B 4046 mit Schaltern an den Teilern. Also leg los. 
Datenblatt lesen und entwickeln.

Olaf

von ... (Gast)


Lesenswert?

> Drehen am Poti ändert den Teilerfaktor
> und damit die Frequenz...

Ja, in diskreten Schritten. Waere nicht so meine Vorstellung
von "einstellbar".
Selbst eine unverbastelte DDS hat eine Schrittweite.
Aber bei z.B. 32 bit Wertevorrat, ist die relativ klein.
Viel kleiner als bei einem AD-gewandelten Poti.
Das waere wohl eher Murks fuer anspruchslose A*uinobastler.
"Hauptsache es blinkt quarzgenau!"

von Christian S. (roehrenvorheizer)


Lesenswert?

Die PLL-Geschichten bringen aber immer etwas Jitter mit ins Signal. Ob 
dies genehm ist, wissen wir nicht.

MfG

von ... (Gast)


Lesenswert?

Eine PLL mit einem richtig dimensionierten Schleifenfilter
fuegt einem Referenzsignal keinen Jitter hinzu.
Ganz im Gegenteil :-).

Es ist die DDS bei der die Vorzeichenwechsel jittern.

von Rainer V. (a_zip)


Lesenswert?

Leute hört auf...der TO will etwas zusammenstöpseln und hat sonst keine 
Kriterien, was seinen Oszillator betrifft. Was will man da raten??
Gruß Rainer

von Rudi S. (Gast)


Lesenswert?

Thomas S. schrieb:
> Ein Wienbrückenoszillator ist nicht so frequenzstabil wie ein
> Quarzoszillator und ich möchte nach Möglichkeit eine Lösung, die auf
> einen solchen beruht.
> Auch die Lösung mit den zwei Operationsverstärkern und den mit einer
> Steuerspannung durchstimmbaren Oszillator ist nicht so stabil wie ein
> Quarzoszillator.

Stimmt nur bedingt.

Schau dir mal das Konzept des Rast-Oszillators an. Der 
spannungsgesteuerte (Sinus-) Oszillator (VCO) wird in einer PLL 
betrieben. Der Phasenkomparator sollte nicht frequenzsensitiv sein (PD 
und kein PFD, XOR-Typ).
Deinen VCO kannst damit auf Vielfache der (quarzstabilen) 
Referenzfrequenz rasten lassen.

von Tany (Gast)


Lesenswert?

Axel S. schrieb:
> Überhaupt wären ein paar erklärende Worte zum Einsatzzweck oder
> hilfsweise zu Frequenzbereich und Kurvenform sinnvoll gewesen.

Rainer V. schrieb:
> Was will man da raten??

Naja, Hauptsache wie immer: Ich weiß ja was! wie im Kindergarten.

von ... (Gast)


Lesenswert?

Der TO hat das hier wohl fuer das Ali-Support-Forum gehalten.
Ist es aber nicht.

Das "quarzgenaue" wurde erst nachgereicht und wozu das Ganze
ueberhaupt sein soll, bleibt mangels Antworten im Dunklen.
Die Vorstellung die Frequenz "irgendwie" kodiert mit
DIP-Schaltern einzustellen hat allerdings erheiterndes.

Der TO ist eben doch nur ein Armleuchter.

von Josef L. (Gast)


Lesenswert?

Weil ihr grad so schön zusammenseid :-))
Wenn ich einen DDS-Chip (+ evtl. nachfolgenden Teiler) bräuchte, so dass 
am Ende 40-80 MHz im 10-Hz-Raster zustandekämen, welchen Chip gibt es 
da, dem man von außen eine Referenzfrequenz zuführen kann? Ich habe 
einen OXCO mit 12.8 MHz.

von Rainer V. (a_zip)


Lesenswert?

Josef L. schrieb:
> Wenn ich einen DDS-Chip (+ evtl. nachfolgenden Teiler) bräuchte, so dass
> am Ende 40-80 MHz im 10-Hz-Raster zustandekämen, welchen Chip gibt es
> da, dem man von außen eine Referenzfrequenz zuführen kann? Ich habe
> einen OXCO mit 12.8 MHz.

Sach ma, hast du jetzt doch getrunken???
Rainer

von MiWi (Gast)


Lesenswert?

Josef L. schrieb:
> Weil ihr grad so schön zusammenseid :-))
> Wenn ich einen DDS-Chip (+ evtl. nachfolgenden Teiler) bräuchte, so dass
> am Ende 40-80 MHz im 10-Hz-Raster zustandekämen, welchen Chip gibt es
> da, dem man von außen eine Referenzfrequenz zuführen kann? Ich habe
> einen OXCO mit 12.8 MHz.

Nimm irgendeinen Siglent, Rigol oder Hameg-Signalgenerator, klemm eine 
stabile Referenz dran (Leo Bodnars GPS-Clk...) und mach was mit SCPI 
oder RS232... fertig. Kostet nicht die Welt, ist, wenn da, in einigen 
Minuten zusammengestöüstelt und - funktioniert ohne langes herumgetue.

von Josef L. (Gast)


Lesenswert?

MiWi schrieb:
> Siglent, Rigol oder Hameg-Signalgenerator

danke, das funktioniert natürlich, aber ich brauche das nicht stationär 
im Labor wo Gewicht und Stromverbrauch keine Rolle spielt - ich dachte 
eher an irgendwas kleiner als 100 cm³ und mit 3.2 Ah NimH oder ähnlich 
für einige Strunden lauffähig. Obwohl, naja, der OXCO zieht schon 1W 
nach der Aufheizung. Nein, im Ernst, was verwenden die für DDS-Chips? 
Handelsübliche? Klar, ich kann jetzt 1 Stunde g00geln und anschließend 
die Treffer gewichten - oder habt ihr einen Tipp?

von ... (Gast)


Lesenswert?

> 40-80 MHz im 10-Hz-Raster

Dafuer wuerde sich nun eher eine PLL mit einem Teiler in der
Rueckfuehrung anbieten.

Bei einer DDS wird die Eingangsfrequenz dann ein Vielfaches
einer 2er Potenz sein muessen.
Sonst kaeme kam man nicht auf 10 Hz Schritte...
Praktischerweise wuerde die Schrittweite sogar kleiner sein.
Die nicht benoetigten Werte laesst man dann einfach aus.
Und die wenigsten DDS wuerden sich beklagen wenn sie mit einem
anderen Referenztakt betrieben wuerden. Solange er kleiner
als das Maximum (nach Datenblatt) ist.

von Christian S. (roehrenvorheizer)


Lesenswert?

... schrieb:
> Der TO ist eben doch nur ein Armleuchter.

Ich glaube, ich muß noch etwas vom Grundwasser abpumpen, damit das 
Niveau der Antworten oberhalb des Grundwasserspiegels bleiben kann.


mfG

von Josef L. (Gast)


Angehängte Dateien:

Lesenswert?

... schrieb:
> Und die wenigsten DDS wuerden sich beklagen wenn sie mit einem
> anderen Referenztakt betrieben wuerden.

Die wenigsten oder die meisten?? Ich dachte an den ADF4350
https://www.alldatasheet.com/datasheet-pdf/pdf/251164/AD/ADF4350.html
aus dem nanoVNA mit zB einem Teiler Plessey SP 4904
https://datasheetspdf.com/datasheet/SP4904.html
danach, damit käme man auf 34.375 bis 625 MHz, Teilfaktor 8/10/16/32 
wäre natürlich vorteilhafter. Nur verstehe ich das Datenblatt des 
ADF4350 nicht genug - da ist von Prescaler die Rede und von programmable 
divider im output - ob ein zusätzlicher Teiler erforderlich ist oder 
nicht; im nanoVNA Schaltbild oben sehe ich aber eine Zufuhr des 
Taktsignals von extern. Oder n icht?

von ... (Gast)


Lesenswert?

Mit dem
> ADF4350
habe ich es noch nicht getrieben.
Vorschlag: Mach einen neuen Fred zu dem Thema auf.
Bei den klassischen AD98XY ist man jedenfalls relativ
frei beim Takt.

Wobei sich die Frage stellt, ob aus irgendwelchen Gruenden
der Abstimmschritt nun ganz praezise 10 Hz sein muss.
Oder nicht 9.9 Hz und 10.1 Hz genauso gut waeren.
D.h. die Zielfrequenz wuerde auf 0.1 Hz genau erzeugt.
Das geben die DDS naemlich u.U. schon so her...

von Josef L. (Gast)


Lesenswert?

... schrieb:
> nun ganz praezise 10 Hz sein muss.
> Oder nicht 9.9 Hz und 10.1 Hz genauso gut waeren.

Wäre es natürlich. In der guten alten Zeit wo man einen Frequenzzähler 
hinter den VCO gehangen hat, hat der halt z.B. 52.515,42 kHz angezeigt, 
was um ±5 Hz genau war.

Natürlich wäre es aus dem Grund gut wenn die Schrittweite exakt 10 Hz 
wäre, mehr wäre ungünstig, weil dann manche Werte ausfallen würden, 
kleinere Werte wären akzeptabel - je kleiner desto besser; bei 3 Hz z.B. 
findet man immer einen Teiler bei dem die Abweichung unter 2 Hz ist. 
Aber so wie ich es verstanden habe ist die Schrittweite bei DDS ja 
sowieso variabel - eine Oszifrequenz wird um einen einstellbaren Faktor 
n runtergeteilt und mit der Referenzfrequenz verglichen und mit einem 
anderen Faktor m geteilt zur Ausgangsfrequenz. Man bekommt also fo * n / 
m, und m und n können 6-10-stellige Zahlen sein (bis 2^17, 2^32 ??).

Wieso eigenen thread? Es geht um preisgünstigen, frequenzstabilen und
einstellbaren Oszillator... nur habe ich halt einen bestimmten 
Frequenzbereich im Auge, was ihr beim TO vermisst hattet...

von Jobst M. (jobstens-de)


Lesenswert?

DDS: AD9951
Wenn Du ein DDS-Signal mit wenig Jitter teilen möchtest, benötigst Du 
einen ordentlichen Filter um einen möglichst perfekten Sinus zu erzeugen 
und dann einen Schmidt-Trigger. Dann kannst Du teilen. Hast aber dann 
keinen Sinus mehr. Wieso Du teilen möchtest, erschießt sich mir jedoch 
nicht. Erzeuge doch einfach gleich die benötigte Frequenz. DDS geht ja 
nun bis 0 herunter ...

PLL: ADF4351 - der hat sogar noch'n bisschn Luft nach oben ...
Gibt bei eBay fertige Module.

Gruß
Jobst

von Josef L. (Gast)


Lesenswert?

Jobst M. schrieb:
> Wieso Du teilen möchtest, erschießt sich mir jedoch nicht.

Ist ja nur, weil im Datenblatt des ADF4350 was von minimaler 
Ausgangsfrequenz von 137.50 MHz stand. Das liegt wohl an den möglichen 
Teilverhältnissen. Ob das mit niedrigerer Referenzfrequenz anders ist? 
Viele dieser Schaltungen haben wohl tatsächlich auch eine untere 
Frequenzgrenze, zB für das Taktsignal. Warum auch immer.

Der Hinweis auf fertige Module ist gut. Muss mir mal die Datenblätter 
ansehen, ob ich die verstehe.

von ... (Gast)


Lesenswert?

> Aber so wie ich es verstanden habe ist die Schrittweite bei DDS ja
> sowieso variabel

Dann solltest du nochmal nachlesen. Das genaue Gegenteil ist der Fall.
Die Schrittweite ist die Referenzfrequenz durch die Zweierpotenz der
Laenge des Steuerworts. (Von Sinderfaellen mal abgesehen.)

Also z.B.
100 MHz / ( 2 ^ 32 )
2.32830643654e-2 Hz

Mant koennte die Frquenz also auf 2.32830643654e-2 Hz genau einstellen.
Damit sollte auch meine Bemerkung klar sein, warum man fuer 10 Hz
Schrittweite ein Vielfaches einer 2er Potenz als Referenz braucht.

Alles was an DDS variabel erscheint, macht eine Steuersoftware.
Jitter nicht inklusive :-).

von Jobst M. (jobstens-de)


Lesenswert?

Der ADF4350 ist aber ebenso wie der ADF4351 eine PLL+VCO. Meine Frage 
bezog sich auf den DDS-Baustein - oder würfele ich da nun etwas 
durcheinander?

Der 4351 kann im Gegensatz zum 4350 bis 35MHz herunter.


Gruß
Jobst

von ... (Gast)


Lesenswert?

> eine Oszifrequenz wird um einen einstellbaren Faktor
> n runtergeteilt und mit der Referenzfrequenz verglichen und mit einem
> anderen Faktor m geteilt zur Ausgangsfrequenz.

Ausserdem solltest du wirklich hart daran arbeiten, DDS und PLL
nicht in einen Topf zu werden und dann noch durchzuruehren.
Wenn solche Begrifflichkeiten nicht sitzen hat jede weitere
Diskussion keinen Sinn.

von Egon D. (Gast)


Lesenswert?

Josef L. schrieb:

> ... schrieb:
>> Und die wenigsten DDS wuerden sich beklagen wenn sie
>> mit einem anderen Referenztakt betrieben wuerden.
>
> Die wenigsten oder die meisten??

Die wenigsten.


> Ich dachte an den ADF4350

??? Das ist aber kein DDS, sondern eine PLL.

von Wolfgang (Gast)


Lesenswert?

Axel S. schrieb:
> So kann man die zwar die eierlegende Wollmilchsau DDS empfehlen. Aber
> wahrscheinlich ist das Perlen vor die Säue.

Das kommt drauf an, was die Zielrichtung ist.
Dem AD9833 kannst du schon bei 1MHz keinen Sinus mehr entlocken, den du 
dich trauen würdest, als solchen zu bezeichnen.
Frequenzstabilität ist bei einem Frequenzgenerator nur ein einziges von 
vielen Kriterien zur Bewertung. Und an diesen (nicht genannten) 
Kriterien macht es sich fest, ob es Perlen sind oder so ein Konzept 
völlig unbrauchbar ist (bzw. mit viel Zusatzaufwand verbunden ist). Bei 
manchen Anwendungen ist schon das Phasenrauschen einer gemeinen PLL ein 
no-go ;-)

von Egon D. (Gast)


Lesenswert?

Wolfgang schrieb:

> Dem AD9833 kannst du schon bei 1MHz keinen Sinus mehr
> entlocken, den du dich trauen würdest, als solchen zu
> bezeichnen.

Natürlich nicht.

Einen Tiefpass am Ausgang verwenden ja nur alte Trottel,
das ist ja voll Achtziger. Was volldigital nicht geht,
das ist technisch generell unmöglich.

von ... (Gast)


Lesenswert?

In einem DDS-Kaefer findet jeder Schritt relativ zur Geschwindigkeit
des Referenztaktes statt. Bis hin zur Bedienung eines SPI-Interfaces.
Aber was bringt dich auf:

> Die wenigsten.

Solange die Arbeitsbereiche einer etwaigen internen PLL/VCO eingehalten
werden, ist es dem Rest doch voellig egal, wozu relativ er seine
Arbeitsschritte tut.

?¿?

von Josef L. (Gast)


Lesenswert?

Egon D. schrieb:
> ??? Das ist aber kein DDS, sondern eine PLL.

OK, dann war ich da voll auf dem falschen Dampfer; auch der 2. Chip im 
nanoVNA für die niedrigeren Frequenzen (SI5351) ist ja offenbar 
VCXO+PLL. Ich dachte, im nano ginge das alles mit DDS.

Der Unterschied DDS/PLL ist mir klar, steht ja auch auf 
https://www.mikrocontroller.net/articles/DDS. Von da finde ich weiter, 
also Hinweise auf fertige Frequenzgenerator-Boards, wobei mir persönlich 
am ehesten was zusagen würde, was sich per USB vom Laptop aus steuern 
ließe.

von ... (Gast)


Lesenswert?

> per USB ... steuern

Dazu nimmt man sich einen CY7C68013A und flickt den fuer eine
typische DDS noetigen SPI-Code in den USB-Serial Code aus
den Beispielen ein. Fertige Boards gibt es fuer den kleinsten
CY7C68013A mit 56 Pins zu guenstigen Preisen.

Dann kann man die DDS per seriellem Terminalprogramm steuern.
Neben einer simplen Frequenzeingabe kann man natuerlich noch
mehr Dinge, wie Sweep oder eine Speicherverwaltung fuer
Frequenzen implementieren.

von W.S. (Gast)


Lesenswert?

Wolfgang schrieb:
> Dem AD9833 kannst du schon bei 1MHz keinen Sinus mehr entlocken, den du
> dich trauen würdest, als solchen zu bezeichnen.

Nanana! Du läßt stillschweigend unter den Tisch fallen, daß man genau 
dann, wenn man einen Sinus haben will, einen passend dimensionierten 
Tiefpaß am Ausgang verwendet. Dann kannst du mit dem AD9833 auch einen 
passablen Sinus von 10 MHz erzeugen. Was dann natürlich nicht mehr geht, 
sind die Modi für Dreieck und Rechteck, aber das versteht sich ja von 
selbst.

Allerdings ist es allemal besser, einen DDS zu verwenden, der eine 
größere Bitbreite für das Ausgangssignal verwendet und auch einen 
schnelleren Takt als nur 25 MHz verträgt.

W.S.

von Josef L. (Gast)


Lesenswert?

W.S. schrieb:
> und auch einen schnelleren Takt als nur 25 MHz verträgt

Ich hatte das so interpretiert, dass dann wieder ein VCO+PLL zum Einsatz 
kommt, der aus der Quarzfrequenz eine Referenzfrequenz im GHz-Bereich 
erzeugt, mit deren Takt die DDS dann die Ausgangsfrequenz treppchenweise 
zusammenstöpselt. Was man früher mit kaskadierten Verdoppler- und 
Verdreifacherstufen gemacht hat, 70/23cm-TX.

von ... (Gast)


Lesenswert?

> mit deren Takt die DDS dann die Ausgangsfrequenz treppchenweise
> zusammenstöpselt.

Du hast wohl mal was ueber DDS gelesen, aber nicht verstanden.
Und dein Halbwissen scheint dir ja zu reichen.

Nur hat es keinen Zweck mit "Halbwissenden" darueber zu diskutieren.
Das ist so wie mit den Blinden und den Farben...

von Josef L. (Gast)


Lesenswert?

... schrieb:
> Nur hat es keinen Zweck mit "Halbwissenden" darueber zu diskutieren.
> Das ist so wie mit den Blinden und den Farben...

Von Didaktik oder so hast du wohl keine Ahnung? Wenn das hier ein Forum 
von Allwissenden ist, habt ihr euch ja eigentlich nichts zu sagen.

von Stefan F. (Gast)


Lesenswert?

Forist schrieb:
> Hätten es nicht auch simple Links zu den
> Angeboten getan oder hängst du da per Provision mit drin?

Den meisten Leuten ist nicht bewusst, wie viele Informationen sie damit 
über sich und andere (die da drauf klicken) an den Shop und seine 
Werbepartner liefern.

Danke für das Kürzen der Links, auf diese klicke ich jetzt.

von Wolfgang (Gast)


Lesenswert?

W.S. schrieb:
> Dann kannst du mit dem AD9833 auch einen passablen Sinus von
> 10 MHz erzeugen.

Das guck ich mir an. Mit einer Abtastrate von maximal 25 MSPS eine 
passablen 10MHz Sinus zu erzeugen, wird ein mühseliges Unterfangen. Der 
Sinus verkommt dabei zu 2 1/2 Abtastpunkten pro Periode.
Verrate mal, was du da (bei vernünftiger Amplitudenkontrolle) für ein 
Rekonstruktionsfilter empfiehlst.

von c-hater (Gast)


Lesenswert?

Wolfgang schrieb:

> Verrate mal, was du da (bei vernünftiger Amplitudenkontrolle) für ein
> Rekonstruktionsfilter empfiehlst.

Resonanz+Amplitudenregelung. Lustigerweise übrigens durchaus 
vergleichbar mit der typischen analogen Lösung für eine Wien-Brücke.

von Jobst M. (jobstens-de)


Lesenswert?

Wolfgang schrieb:
> Dem AD9833 kannst du schon bei 1MHz keinen Sinus mehr entlocken, den du
> dich trauen würdest, als solchen zu bezeichnen.

Stimmt. Aber alle Störsignale die bei 25MHz Referenztakt entstehen , 
liegen oberhalb von 24MHz und haben wesentlich weniger Pegel, als das 
Nutzsignal. Das schafft selbst ein einfaches Filter weg.
Und Rekonstruktionsfilter sind bei der Umwandlung von digitalen in 
analoge Signale notwendig, denn digital hat man eine zeitliche 
Quantisierung und damit nur Stützstellen und kein kontinuierliches 
Signal. Die Zwischenräume musst Du füllen.

Ich habe hier eine Schaltung mit AD9833, aus der ich 10 - 10,5 MHz hole. 
Das Signal ist zwar überhaupt nicht schön, aber dort stecken 10 - 10,5 
MHz drin, und das reicht mir. Alle anderen Frequenzen darin werden in 
meinem Aufbau ignoriert, da sie nicht auf die Resonanz der Senke passen.

Mit einem ordentlichen Filter sollte man aber sauber bis 10MHz mit 
diesem Chip kommen.
In CD-Playern funktioniert die Wiedergabe bis 20kHz ja auch. Auch schon 
vor Oversampling.


Gruß
Jobst

von Hans-Georg L. (h-g-l)


Lesenswert?

Josef L. schrieb:
> Weil ihr grad so schön zusammenseid :-))
> Wenn ich einen DDS-Chip (+ evtl. nachfolgenden Teiler) bräuchte, so dass
> am Ende 40-80 MHz im 10-Hz-Raster zustandekämen, welchen Chip gibt es
> da, dem man von außen eine Referenzfrequenz zuführen kann? Ich habe
> einen OXCO mit 12.8 MHz.

AD9910 bekommst du bei Ebay als Modul.

von Josef L. (Gast)


Lesenswert?

Hans-Georg L. schrieb:
> AD9910

Danke! Datenblatt ist ja per Klick verfügbar und es sieht so aus als 
wäre eine externe Referenz ab 3.5 MHz möglich. Solange die Auflösung 
exakt 10 Hz oder maximal etwa 2-3 Hz ist reicht das völlig; der OXCO hat 
ja nur 10 ppb.

von Hans-Georg L. (h-g-l)



Lesenswert?

Josef L. schrieb:
> Hans-Georg L. schrieb:
>> AD9910
>
> Danke! Datenblatt ist ja per Klick verfügbar und es sieht so aus als
> wäre eine externe Referenz ab 3.5 MHz möglich. Solange die Auflösung
> exakt 10 Hz oder maximal etwa 2-3 Hz ist reicht das völlig; der OXCO hat
> ja nur 10 ppb.

Hier noch ein paar Bilder mit dem AD9910 erzeugt.

Man kann den auch zu Dreieck überreden aber nur bis ca. 10 Mhz.

von Josef L. (Gast)


Lesenswert?

Hans-Georg L. schrieb:
> Man kann den auch zu Dreieck überreden

Die Oszillogramme sind recht eindrucksvoll. Beim letzten sieht man die 
Stufen schon sehr schön. Ne exakte Gerade lässt sich halt schlechter 
simulieren als ein Sinus. Bei letzterem reicht es alle vorhandenen 
Oberwellen soweit möglich zu unterdrücken - beim Dreieck fehlen 
Oberwellen, die sich aber nachträglich schlecht erzeugen lassen.

von Hans-Georg L. (h-g-l)


Lesenswert?

Josef L. schrieb:
> Hans-Georg L. schrieb:
>> Man kann den auch zu Dreieck überreden
>
> Die Oszillogramme sind recht eindrucksvoll. Beim letzten sieht man die
> Stufen schon sehr schön. Ne exakte Gerade lässt sich halt schlechter
> simulieren als ein Sinus. Bei letzterem reicht es alle vorhandenen
> Oberwellen soweit möglich zu unterdrücken - beim Dreieck fehlen
> Oberwellen, die sich aber nachträglich schlecht erzeugen lassen.

Über DDS kann der Chip nur Sinus !

Die Dreiecke erzeuge ich durch Manipulation des Amplituden DAC durch den 
Rampengenerator. Ein DAC erzeugt halt leider Treppen und keine Punkte.

von Egon D. (Gast)


Lesenswert?

Josef L. schrieb:

> W.S. schrieb:
>> und auch einen schnelleren Takt als nur 25 MHz verträgt
>
> Ich hatte das so interpretiert, dass dann wieder ein
> VCO+PLL zum Einsatz kommt, der aus der Quarzfrequenz
> eine Referenzfrequenz im GHz-Bereich erzeugt, mit deren
> Takt die DDS dann die Ausgangsfrequenz treppchenweise
> zusammenstöpselt. Was man früher mit kaskadierten
> Verdoppler- und Verdreifacherstufen gemacht hat, 70/23cm-TX.

Ja, richtig.
Das ist häufig schon in den DDS-Chips integiert.

Trotzdem ist ungefähr bei 1GHz DDS-Takt Schluss; man
kann also bis ca. 300MHz anständige Signale nach dem
DDS-Prinzip erzeugen.
Oberhalb gewinnt i.d.R. die PLL -- die kann man bei Bedarf
auch für 100GHz auslegen...

Natürlich sind auch hybride Konzepte denkbar; das gab es
früher mit LC-Oszillatoren und Drehko-Abstimmung auch
schon.

von Egon D. (Gast)


Lesenswert?

Wolfgang schrieb:

> W.S. schrieb:
>> Dann kannst du mit dem AD9833 auch einen passablen Sinus
>> von 10 MHz erzeugen.
>
> Das guck ich mir an. Mit einer Abtastrate von maximal
> 25 MSPS eine passablen 10MHz Sinus zu erzeugen, wird
> ein mühseliges Unterfangen. Der Sinus verkommt dabei
> zu 2 1/2 Abtastpunkten pro Periode.

Bis ungefähr zu 1/3 des DDS-Taktes ist das kein echtes
Problem. Der DDS-Chip liefert nur immer zu richtigen
Zeitpunkt die richtige Menge Anregungsenergie; die stetige
Schwingung erzeugt das Filter...


> Verrate mal, was du da (bei vernünftiger
> Amplitudenkontrolle) für ein Rekonstruktionsfilter
> empfiehlst.

In diversen AppNotes finden sich in der Regel LC-Filter,
so 5. Ordnung und darüber.
Müsste sich auch so auslegen lassen, dass der sin(x)/x-
Abfall des DDS ungefähr ausgeglichen wird. Habe ich aber
noch nicht gemacht.

von Pandur S. (jetztnicht)


Lesenswert?

Es gibt auch noch Hybride, also PLL und dann einen DDS dahinter in einem 
Chip, wie zB der AD9854, der multipliziert die Referenz erst mal mit 
4..20, bis 300MHz, und laesst den DDS Teil daran laufen. Dieser hat dann 
noch einen 48Bit  Phasenakkumulator, welcher bei 300MHz internem Clock 
eine Aufloesung von 1uHz bringt.

: Bearbeitet durch User
von Rolf S. (audiorolf)


Lesenswert?

Thomas S. schrieb:
> Ein Wienbrückenoszillator ist nicht so frequenzstabil wie ein
> Quarzoszillator und ich möchte nach Möglichkeit eine Lösung, die auf
> einen solchen beruht.
Hat aber nicht die phasenprobleme, wie eine digitale DDS. Man kann sich 
also aussuchen, wie das Störspektrum aussieht.

Und, es ist eben ziemlich viel was günstiger, das zu kaufen, als es zu 
bauen. Denn:

>Aliexpress
Tja, 8kHz ... 160MHz mit SMA-buchsen, fertig per I2C steuerbar und das 
Ganze für 3,49! Plus MWST, Versand und 6,- Zoll sind es unter 20,- für 2 
Stück. Immer 2 kaufen, weil Chinaware.

von Rolf S. (audiorolf)


Lesenswert?

Pandur S. schrieb:
> bis 300MHz, und laesst den DDS Teil daran laufen. Dieser hat dann
> noch einen 48Bit  Phasenakkumulator, welcher bei 300MHz internem Clock
> eine Aufloesung von 1uHz bringt.

Die Chips die wir benutzen, laufen auf 2GHz und haben eine 2G-Tabelle. 
Trotzdem ist die Auflösung sprungbehaftet und muss gefiltert werden.

von Wolfgang (Gast)


Lesenswert?

Egon D. schrieb:
> Bis ungefähr zu 1/3 des DDS-Taktes ist das kein echtes
> Problem. Der DDS-Chip liefert nur immer zu richtigen
> Zeitpunkt die richtige Menge Anregungsenergie; die stetige
> Schwingung erzeugt das Filter...

Das Filter kann das "einstellbar" etwas aufwändig werden lassen, weil es 
dafür eine recht hohe Güte benötigt.

von Harald W. (wilhelms)


Lesenswert?

Wolfgang schrieb:

>> Der DDS-Chip liefert nur immer zu richtigen
>> Zeitpunkt die richtige Menge Anregungsenergie; die stetige
>> Schwingung erzeugt das Filter...
>
> Das Filter kann das "einstellbar" etwas aufwändig werden lassen,
> weil es dafür eine recht hohe Güte benötigt.

Vielleicht wären da SC-Filter ja die passende Lösung.

von Rainer V. (a_zip)


Lesenswert?

SC-Filter bringen aber auch wieder Frequenzen rein, die man nicht haben 
möchte. Da wird es schnell eng mit einem "sauberen" Sinus! Vor allem, 
wenn man nicht genau weiß, was man tut.
Gruß Rainer

von Bernd (Gast)


Lesenswert?

Harald W. schrieb:
> Vielleicht wären da SC-Filter ja die passende Lösung.
Gibt es die noch?
Ah doch:
https://www.maximintegrated.com/en/products/analog/analog-filters/MAX275.html
Die spielen frequenzmäßig im kHz-Bereich.

Kennt da jemand was, was sagen wir bis 20 MHz funktioniert?

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Gibt keine.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.