Forum: FPGA, VHDL & Co. Lohnt sich eine Projekt- oder Bachelorarbeit in VHDL/Verilog und FPGAs?


von Can K. (illuminai)


Lesenswert?

Guten Abend zusammen,

ich hoffe meine Frage hier im Forum stellen zu dürfen, da sie etwas 
speziell ist und ich sonst nicht wüsste, woher ich diese Informationen 
bekommen soll. Ich studiere technische Informatik, und mein Studium 
neigt sich langsam dem Ende zu. Jetzt stehe ich vor einer wichtigen 
Entscheidung: die Auswahl des Themas für meine Projektarbeit. In letzter 
Zeit habe ich ein wachsendes Interesse an VHDL/Verilog und 
FPGA-Technologie entwickelt. Daher "liebäugle" ich mit der Idee, dieses 
Thema für meine Abschlussarbeit zu wählen.

Ich würde gerne erfahren, ob jemand von euch Erfahrungen in diesem 
Bereich hat und mir mehr über die Einstiegschancen und 
Entwicklungsmöglichkeiten in diesem Feld erzählen kann. Gibt es eine 
hohe Nachfrage in dieser Branche?

Vielen Dank im Voraus schonmal für eure Hilfe.

von Frank K. (fchk)


Lesenswert?

Was für ein Abschluss steht denn an? Bachelor? Master?

Das Thema Deiner Abschlussarbeit ist im Wesentlichen nur für den 
Einstieg bei deinem ersten Arbeitgeber relevant. Nach zehn Jahren fragt 
niemand mehr danach - zumindest ist das meine Erfahrung.

Es ist sinnvoll, am Anfang eher in die Breite zu gehen. Du solltest 
besser Grundlagen Digitaltechnik, Mikrocontroller, Analogschaltungen, HF 
sowie in C, C++ und Softwareengineering im Allgemeinen haben. Deine 
Abschlussarbeit, die vielleicht nur 3 Monate dauert, wird Dich nicht 
unwiderruflich auf das Thema festlegen.

Du musst Dir klar werden, dass Du in Deinem ersten Job noch nichts 
kannst,  weißt und bist, und dass Du erstmal lernen musst, wie das 
Arbeitsleben funktioniert. Von daher mach es, wenn Du es interessant 
findest, und sieh zu, dass Du da möglichst mit einer 1 rauskommst. 
Personalverantwortliche erkennen gute Noten, wenn sie sie sehen. 
Irgendwelche fachlichen Themenstellungen können HR und Oberchef 
eventuell eh nicht richtig beurteilen.

Das ist jetzt nur meine persönliche Meinung.

fchk

von Falk B. (falk)


Lesenswert?

Can K. schrieb:
> Ich würde gerne erfahren, ob jemand von euch Erfahrungen in diesem
> Bereich hat

Sowas hab ich vor hust 23 Jahren gemacht. Digitale PLL in VHDL. War 
dann auch direkt der Einsieg in die Firma und über 2 Jahre glückliches 
Jungingenieursdasein, was sich zu ~80% mit VHDL beschäftigt hat.

> und mir mehr über die Einstiegschancen und
> Entwicklungsmöglichkeiten in diesem Feld erzählen kann. Gibt es eine
> hohe Nachfrage in dieser Branche?

Tjaaaa. Wenn man einige Kommentare hier so liest, soll wohl angeblich 
das Thema FPGA/VHDL/Verilog & Co ein wenig unter "Abwertung" und 
Inflation leiden. So nach dem Motto, das man machen die Billig-Inder und 
der Rest die Codegeneratoren. Ob das im Großen und Ganzen WIRKLICH und 
ÜBERALL so ist, kann ich nicht bewerten, bin aus dem Thema schon lange 
raus (hmmm).

Wenn dich das Thema aber interessiert, mach es. Du lernst auf jeden Fall 
was, sei es fachspezifisch oder allgemeingültige 
Problemlösungsstrategien. Und es gibt vermutlich ne Menge Nischen, wo 
man das nutzen kann. Und wenn man die GEZIELT sucht, weil einem das 
Thema halt liegt, dann umso mehr.

Nichts wird so heiß gegessen, wie es gekocht wird.

von Falk B. (falk)


Lesenswert?

Frank K. schrieb:
> Du musst Dir klar werden, dass Du in Deinem ersten Job noch nichts
> kannst,  weißt und bist, und dass Du erstmal lernen musst, wie das
> Arbeitsleben funktioniert. Von daher mach es, wenn Du es interessant
> findest, und sieh zu, dass Du da möglichst mit einer 1 rauskommst.
> Personalverantwortliche erkennen gute Noten, wenn sie sie sehen.

Jaja. Bei der Inflation der 1er Abiture und ählichen Trends im Studium 
sind Noten mehr und mehr nichtssagend. Ich hatte damals auf dem Diplom 
nen 3er Schnitt 8-0 Hab trotzdem zu was gebracht ;-)

> Irgendwelche fachlichen Themenstellungen können HR und Oberchef
> eventuell eh nicht richtig beurteilen.

Was heißt "eventuell"? Die Personalabteilung kann und will es ganz 
sicher nicht, die Chefs nur bei kleinen und mittleren Firmen, wo der 
Chef echt noch fachlich Ahnung hat und nicht nur Power Point malt.

von Falk B. (falk)


Lesenswert?


von Mann Fred (Gast)


Lesenswert?

Falk B. schrieb:
> Sowas hab ich vor hust 23 Jahren gemacht. Digitale PLL in VHDL.
Das kann es wohl kaum gewesen sein. Ist ein Zähler, ein Vergleicher und 
eine kleine Regelung für ein Inkrement, nachfolgend ein Filter. Maximal 
1 Tag Arbeit bis zur fertigen Implementiertung. Für den Anfänger 1 Woche 
+ VHDL-Lernen + Schreiberei.

> Gibt es eine hohe Nachfrage in dieser Branche?
Was ist eine "hohe" Nachfrage? In praktisch jeder größeren Schaltung 
steckt ein programmierbarer Baustein. Aber den mit Inhalt zu füllen, ist 
so trivial, dass man es gerne den Anfängern überträgt, um Kosten zu 
sparen.

> Tjaaaa. Wenn man einige Kommentare hier so liest, soll wohl angeblich
> das Thema FPGA/VHDL/Verilog & Co ein wenig unter "Abwertung" und
> Inflation leiden.
Das scheint so zu sein, richtig. Der Grund ist auch jedem bekannt:

Genau wie beim C-Programmieren war am Anfang ein Riesenbedarf und keiner 
da. Irgendwann war der Bedarf abgeflacht und die Anfänger hatten 
nachgezogen. C-Programmierer gibt es 5x mehr, als benötigt werden.

Beim FPGA dasselbe: Noch vor 20 Jahren waren FPGA-Entwickler richtig 
rar. Da konnte jeder, der damit klar kam, einen Job bekommen. Ich kenne 
jemanden in einem Elektronikforum, der sich mit einer lumpigen PLL 
beworben und einen Job bekommen hat.

Das ist heute anders: Einfaches FPGA-Entwickeln kann praktisch jeder 
Absolvent und der MArkt ist zunehmend gesättigt.

> das man machen die Billig-Inder und der Rest die Codegeneratoren.
OB du das glaubst oder nicht, es ist so. Es ist bei vielen 
Prototypenentwicklungen wirklich billiger, man kauft MATLAB und die 
Coder-Lizenz und brummt eine Mischung aus MATLAB-Code und modifizierten 
Demo-Designs aus den CORE-IP-Demos in den FPGA, als einen Monat eines 
Entwicklers zu bezahlen. Der kostet nämlich die Firma 15k und spart am 
FPGA allerhöchstens die Hälfte weg, wenn er es effizienter macht.

Bei einem FPGA der €200,- Gruppe, sind höchstens 100,- einzusparen und 
das auch nur dann, wenn es wirklich stark coding-abhängig ist. Das lohnt 
sich nur ab Stückzahlen von 150 Stk aufwärts. Da aber das Gesamtgerät um 
die 10k kostet und das time-2-market-Argument viel mehr Gewicht hat, 
lässt man bei dem 1M an Mehrzeit viel zu viel Geld liegen. Da müsste es 
schon die 10-fache Ersparnis sein.

Merke die alte PL-Regel:

Alles, was Stückzahlen unter 1000 hat oder ein Gesamtvolumen unter 1Mio, 
darf nicht resourcenoptimiert entwickelt werden, sondern es ist der 
Schwerpunkt eindeutig auf die Zeit und das Tempo zu legen.

> Nichts wird so heiß gegessen, wie es gekocht wird.
Nichts ist so nutzlos wie ein überoptimiertes Produkt.

von Falk B. (falk)


Lesenswert?

Manni T. schrieb:
> Falk B. schrieb:
>> Sowas hab ich vor hust 23 Jahren gemacht. Digitale PLL in VHDL.
> Das kann es wohl kaum gewesen sein. Ist ein Zähler, ein Vergleicher und
> eine kleine Regelung für ein Inkrement, nachfolgend ein Filter. Maximal
> 1 Tag Arbeit bis zur fertigen Implementiertung. Für den Anfänger 1 Woche
> + VHDL-Lernen + Schreiberei.

Jaja. Es wa schon bissel mehr. Erstens braucht es die theoretischen 
Vorarbeiten, sich ins Thema PLL einarbeiten, bissel Grundlagen und 
BlaBla akademisch schön aufschreiben. Dann die Umsetzung, die auch nicht 
beim ersten Versuch als VHDL Anfänger glatt läuft. Und dann viele 
Messungen zum Thema Jitter. Hmm, muss mal schauen, wo ich die 
Doplomarbeit hingeschmissen habe. Ist bestimmt sehr zum Schmunzeln, wenn 
man die heute liest.

>> Gibt es eine hohe Nachfrage in dieser Branche?
> Was ist eine "hohe" Nachfrage? In praktisch jeder größeren Schaltung
> steckt ein programmierbarer Baustein. Aber den mit Inhalt zu füllen, ist
> so trivial, dass man es gerne den Anfängern überträgt, um Kosten zu
> sparen.

Was für ein Unfug!

> Das ist heute anders: Einfaches FPGA-Entwickeln kann praktisch jeder
> Absolvent und der MArkt ist zunehmend gesättigt.

Kann sein.

> Alles, was Stückzahlen unter 1000 hat oder ein Gesamtvolumen unter 1Mio,
> darf nicht resourcenoptimiert entwickelt werden, sondern es ist der
> Schwerpunkt eindeutig auf die Zeit und das Tempo zu legen.

Naja, über die Eckwerte kann man streiten, aber im Prinzip hast du 
recht. Kleine Stückzahlen sind dominiert vom Entwicklungsaufwand, große 
von den Materialkosten.

>> Nichts wird so heiß gegessen, wie es gekocht wird.
> Nichts ist so nutzlos wie ein überoptimiertes Produkt.

Stimmt. Macht man aber in Deutschland aber gern. Stichwort 
Overengineering.

von Falk B. (falk)


Lesenswert?

Hehe, hab sie gefunden. Zeig ich aber nicht.

von Michael B. (laberkopp)


Lesenswert?

Can K. schrieb:
> Idee, dieses Thema für meine Abschlussarbeit zu wählen

Mach das.

Can K. schrieb:
> Gibt es eine hohe Nachfrage in dieser Branche?

Egal.

Richtige Experten werden immer gesucht.

FPGA sehe ich in Kameras, Frequenzerzeugern, Fernsehern, 
Internet-Gateways, Security und Überwachung, Real-Time-Controllern.

Und wenn du kein richtiger Experte wirst, hast du wenigstens 
Grundwissen.

von Peter (pittyj)


Lesenswert?

Du wirst noch 40 Jahre arbeiten müssen. Also mach das, woran du Spass 
hast.

Wenn z.B. Datenbanken gefragt sind, sie dir aber keinen Spass machen, 
dann wirst du jeden Morgen schon beim Aufstehen fluchen.

Also nimmt lieber etwas weniger Geld, und habe Freude bei der Arbeit. 
Die Magengeschwüre danken es dir.

von Christoph Z. (christophz)


Lesenswert?

Can K. schrieb:
> Ich studiere technische Informatik, und mein Studium
> neigt sich langsam dem Ende zu. Jetzt stehe ich vor einer wichtigen
> Entscheidung: die Auswahl des Themas für meine Projektarbeit. In letzter
> Zeit habe ich ein wachsendes Interesse an VHDL/Verilog und
> FPGA-Technologie entwickelt. Daher "liebäugle" ich mit der Idee, dieses
> Thema für meine Abschlussarbeit zu wählen.

Gibt es an deiner Uni/Fachhochschule ein Institut/Professor der sich mit 
FPGA Entwicklung und VHDL/Verilog gut auskennt?
Werden regelmässig Abschlussarbeiten in dem Themenbereich 
ausgeschrieben/bearbeitet?

Als Anfänger wirst du viel Unterstützung brauchen um mal in das Thema zu 
kommen, um die generellen Zusammenhänge vom Code bis hinunter zum 
Bitstream grob zu verstehen und in nützlicher Zeit auch etwas zum Laufen 
zu bekommen, dass du dann präsentieren kannst.

Wenn du bei einer Bachelorarbeit zuerst noch VHDL lernen musst, wird das 
nichts gutes. Für eine Masterarbeit mit 6 Monaten kann es was werden, 
wenn die Umgebung dich gut stützen kann.

Wenn die Uni/Fachhochschule sonst nichts mit FPGAs macht, mach lieber 
eine Arbeit in einem anderen Thema und bastle mit FPGAs etwas zu Hause 
herum, bis du denkst, die grundsätzlichen Zusammenhänge verstanden zu 
haben. Als frischer Absolvent sollte das reichen für den Einstieg, 
(viel) mehr wissen die anderen auf diesem Niveau auch (noch) nicht :-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Can K. schrieb:
> Jetzt stehe ich vor einer wichtigen Entscheidung: die Auswahl des Themas
> für meine Projektarbeit.
Nimm als Basis etwas, was du schon kannst. Um etwas komplett neu zu 
lernen (Bauteil, Sprache und Toolchain) sind die 2 Monate (plus einer 
für die Doku) viel zu wenig.

> In letzter Zeit habe ich ein wachsendes Interesse an VHDL/Verilog und
> FPGA-Technologie entwickelt. Daher "liebäugle" ich mit der Idee, dieses
> Thema für meine Abschlussarbeit zu wählen.
"Liebäugeln" genügt nicht. Wieviel Erfahrung hast du damit schon in 
deiner Freizeit gesammelt? Wenn du da erst noch ganz von vorn anfangen 
musst, dann brauchst du einen sehr guten Betreuer, der dich eng an die 
Hand nimmt.

Michael B. schrieb:
> Richtige Experten werden immer gesucht.
Man muss sich nur mal trauen, sich abseits der Automotive-OEM 
umzuschauen.

Manni T. schrieb:
> Falk B. schrieb:
>> Sowas hab ich vor hust 23 Jahren gemacht. Digitale PLL in VHDL.
> Das kann es wohl kaum gewesen sein. Ist ein Zähler, ein Vergleicher und
> eine kleine Regelung für ein Inkrement, nachfolgend ein Filter. Maximal
> 1 Tag Arbeit bis zur fertigen Implementiertung. Für den Anfänger 1 Woche
> + VHDL-Lernen + Schreiberei.
Ja, wieder so ein Lautsprecher, der schon die Grundlagen schon im 
Genpool verankert hatte.

: Bearbeitet durch Moderator
von A. F. (chefdesigner)


Lesenswert?

Christoph Z. schrieb:
> Wenn du bei einer Bachelorarbeit zuerst noch VHDL lernen musst, wird das
> nichts gutes.
VHDL hat man in 3-6 Wochen drauf, das ist kaum das Problem. Das 
eigentliche ist die digitale Schaltungstechnik und da frage ich mich, ob 
ein Studiengang Informationstechnik dafür überhaupt irgendwelche 
Grundlagen gelegt hat?

Arbeiten, die ich hier sehe von Leuten, die aus der Ecke kommen, 
bestehen praktisch ausschließlich aus zusammengeklickten 
Computersystemen mit RAM, CPU und etwas IO-Funktion, wo es praktisch gar 
keine FPGA-Entwicklung gab und auch kaum VHDL zum Einsatz kam. Es wurden 
die building-blocks aus dem DesignCenter genutzt und dann eine 
C-Software auf die CPU aufgesetzt, die die Funktion macht. Laufen tut 
das Ganze auf einem fertig entwickelten Demo-board, auf dem alle 
elektrischen Probleme schon gelöst sind. Oft wird ein DemoDesign des 
Herstellers genutzt, um die IOs zu bedienen.

Damit hat er zwar formell eine FPGA-Hardware unter dem Hintern, das 
Bauen findet aber auf dem Niveau der APP-Programmierung statt.

Das ist aus der Sicht des Informatikers sicher eine richtige 
Arbeitstechnik und irgendwie auch die Position, in der er später 
arbeiten kann, hat aber mit FPGA-Entwicklung nichts zu tun. Besonders, 
wenn SoCs eingesetzt werden, wird der FPGA-Teil so gut wie nicht 
genutzt, wie ich immer wieder sehe. Soweit IO-Schnittstellen verwendet 
werden, kommen die aus dem Demodesign oder werden aus GIT 
heruntergeladen, oder sie waren schon drin. Hier hat sich jemand 
beworben, der in seiner Arbeit einen vollständigen AWG gebaut hatte, mit 
Ethernet, USB, DDR-Controllern und High-Speed-DACs. Allerdings entpuppte 
sich das dann als Applikation for ein SoC, auf dem alles schon vorhanden 
war und nut genutzt werden musste. Ethernet und USB z.B. liefen komplett 
als LIB in der Software und die Aufrufe beschränkten sich auf einige 
wenige C-Funktionen unter Linux.

Die Frage ist einfach, worin überhaupt die Leistung des Studierenden 
besteht?

Mechanik, Elektronik und Signalintegrietät wurden umgangen, digitale 
Schaltungstechnik mit der Vielzahl der Problemen wurde ebenfalls 
umgangen, weil keine sonderliche Schaltungstechnik entwickelt wurde, 
sondern nur Komponenten verknüpft worden sind und die nach Vorgabe und 
nicht nach eigenen Ideen. Technische Dinge wie Pegel, Treiber- und 
ClockDomain-Probleme wurden auch nicht behandelt, weil das grafische 
Xilinx-Design voll synchron läuft und es solche Geschichten gar nicht 
gab.

Diese Dinge sind aber die wirklich herausfordernden, wie man immer 
wieder sehen kann. Man muss die richtigen Chips auswählen, die Ströme 
und Treiberstärken auslegen und dann schauen, wie man aus den FPGA-Pins 
herauskommt und welchen FPGA es braucht. Dann HF-Aspekte und PCB-Layout. 
Ich war als HW-Entwickler damit tagtäglich konfrontiert und kann 
einschätzen, was man im E-Technikstudium mitbekommt und was der 
Informatiker mitbekommt.

Das Einzige, was über bleibt, wäre die Funktion der Schaltung, also 
sozusagen, die Software. Das kann eine hochkomplexe FSM, ein neuer 
Algorithmus, ein Filter oder eine Regelung sein, welche ein ganz 
bestimmtes physikalisches Problem abarbeiten. Diese aber zu entwickeln 
und zu optimieren, erfordert weder ein reales CPU-System, noch eine 
Hardware, sondern einfach nur ein Model der Physik in MATLAB. Daran kann 
man sich dann abarbeiten. Ob die Algorithmik dann in einen FPGA oder 
eine CPU kommt, ist Wurscht.

FPGA-Entwicklung ist aber eine ganz andere Geschichte. Experten auf 
diesem Sektor sind in der Tat benötigt. Auch hier! Aber zu finden ist 
nur die Softwarefraktion, die das Thema FPGA zu einem SoC migriert, dann 
die PL weglässt und sich nur um die PS kümmert, die mit C beladen wird.

Diesem C ist es aber egal, wie schnell es läuft. Ich kann einen DSP in 
einem Mobilfunktelefon mit C beschicken und damit GHz-Signale 
produzieren lassen. Deshalb wird man aber nicht zum 
Hochfrequenzdesigner.

von Michael B. (laberkopp)


Lesenswert?

A. F. schrieb:
> Die Frage ist einfach, worin überhaupt die Leistung des Studierenden
> besteht?

Alle doof, ausser dir.

Ja, building blocks verbinden wie damals TTL ICs hardwaremäßig zu 
verbinden ist eine sinnvolle Art, FPGA zu verwenden, möglichst im 
internationalen VERILOG statt den europäischen VHDL, oder programmierst 
du in Algol statt C ?

Selber gute building blocks zu schreiben, ist eindeutig erst die nächste 
Stufe. Wer es nicht kann, produziert leicht resourcenfressende schlecht 
synthetisierbare Müllhaufen mit tausenden FlipFlops.

Ein FPGA aber nur zu nutzen um darauf einen uC zu mappen und den zu 
programmieren ist irgendwie Unsinn. Wenistens ein Schaltungsteil sollte 
nativ FPGA sein.

von René H. (mumpel)


Lesenswert?

M.E. kann man solche Fragen nicht ehrlich beantworten.

von Mann Fred (Gast)


Lesenswert?

Michael B. schrieb:
> A. F. schrieb:
>> Die Frage ist einfach, worin überhaupt die Leistung des Studierenden
>> besteht?
>
> Alle doof, ausser dir.

Falsch gelesen, Laberkopp! Der Kritikpunkt ist das Mäntelchen, dass man 
sich umhängt, wenn man ein "FPGA-Projekt" vorweist, was zu 
Schlussfolgerungen in Richtung Elektronik führen wird, die aber nicht 
treffen, wenn es nur ein Zusammenklicken und C-Programmieren ist.

von Vancouver (vancouver)


Lesenswert?

@TO
Lies dir mal die Seiten zum EU Chips Act durch, und dann stell dir 
nochmal die Frage, ob es sinnvoll ist, sich mit Chipdesign (und 
FPGA-Design ist eine Teilmenge davon) zu beschäftigen.

von Mann Fred (Gast)


Lesenswert?

Vancouver schrieb:
> Chipdesign - FPGA-Design ist eine Teilmenge davon
Interessante These!

Bei uns macht das ASIC-Design die HF-Abteilung, besetzt mit Ingenieuren 
der Nachrichtentechnik, die analoges bauen, während die FPGAs von der 
SW-Abteilung gemacht werden, in der embedded-Entwickler sitzen. 
Überschnitt maximal 25%. Wo es Gemeinsamkeiten gibt, nämlich im 
Elektronikbereich, wenn die eingesetzt werden (nicht gebaut oder 
programmiert werden), sitzen PCB-Designer und Layouter.

Machen wir was falsch?

von Vancouver (vancouver)


Lesenswert?

Keine Ahnung, ob ihr was falsch macht. Bei uns arbeiten FPGA-Designer 
und ASIC-Designer eng zusammen, da gibt es fast keinen Unterschied. 
Alles was mit Architekturdesign, RTL-Modellierung, Simulation, 
Verifikation (UVM, Formal) zu tun hat, ist nahezu identisch. Nach der 
Synthese trennen sich die Pfade. Das physical Design (STA, Layout, DRC, 
LEC usw.) machen Spezialisten für Digitaldesign (aber das sind weder 
HF-Leute noch Nachrichtentechniker), und die FPGAler machen ihren 
Xilinx- oder Embedded-FPGA Workflow.
Zudem sind wir gerade dabei, eine Gruppe aufzubauen, die ausschließlich 
Verifikation macht, weil der Aufwand dafür zusehends explodiert, und 
niemand kann es sich leisten, fehlerhafte ASICs zu bauen.
Analogdesigns machen die Analogleute selbst. Deren Designs sind einige 
Größenordnungen kleiner als die Digitaldesigns, dafür müssen die jeden 
Transistor einzeln platzieren und aufwändige Postlayout-Simulationen 
machen, haben also auch eine Menge Arbeit. Am Ende geben sie uns ihr 
Analog-Macro und wie integrieren es, außer es ist ein reiner Analogchip.
Und bei uns hat noch nie ein SW-Entwickler ein FPGA-Design gemacht, das 
würde in einem Desaster enden (Keyword "VHDL-Programmierung"). Die 
SW-Entwickler schreiben die Software für die CPUs im Digitaldesign 
(falls es welche gibt), also bei uns üblicherweise RISC-V-Cores. Falls 
die RISCs Befehlssatzerweiterungen bekommen oder wir eigene 
Spezialprozessoren entwickeln, schreiben die SW-Entwickler die Compiler. 
Sie müssen also die Architektur genauestens kennen, einfach ein 
C-Progrämmchen reinhacken und laufenlassen reicht bei weitem nicht.

Wir suchen seit Jahren Leuten in allen diesen Bereichen (ausschließlich 
Uni-Master mit mindestens gutem Abschluss, Experimente mit Bacheloren 
oder FHlern sind fast alle gescheitert), und die Auswahl ist äußerst 
dünn. Seit der Abschaffung der Diplomstudiengänge ist die Lage noch 
schlimmer geworden. Die meisten meiner Kollegen (m/w/d) sind aus Indien 
(allesamt Spitzenleute, die unsere Anforderungen voll erfüllen). Die 
paar deutschen haben wir schon auf der Uni abgegriffen, und sie haben 
bei uns Praktikum->Hiwi->MA gemacht und wurden dann übernommen.

von Mann Fred (Gast)


Lesenswert?

Vancouver schrieb:
> Die meisten meiner Kollegen (m/w/d) sind aus Indien

Und die haben eine bessere Ausbildung? Oder sind sie nur billiger?
In Indien gibt es auch keine Diplome :-)

von Vancouver (vancouver)


Lesenswert?

Die meisten haben in Indien den Bachelor und in EU den Masterabschluss 
gemacht. Manche kommen auch direkt vom IIT, das ist schon eine 
Hausnummer.
Ob sie besser sind als deutsche Absolventen ist schwer zu sagen, es gibt 
ja keine zur Zeit. Aber sie sind auf jeden Fall gut genug, um ihren Job 
bei ins machen zu können. Und sie verdienen das gleiche wie die Kollegen 
aus DE.

von Mann Fred (Gast)


Lesenswert?

Vancouver schrieb:
> es gibt ja keine zur Zeit.
Ihr bekommt keine Master-Absolventen? Wo ist eure Firma? Seid ihr so 
unbekannt oder ist der Standort unattraktiv? Uns rennen die Master die 
Bude ein - jedenfalls in der Karlsruher Niederlassung.

Vancouver schrieb:
> Und sie verdienen das gleiche wie die Kollegen aus DE.
Die Gehälter gehen bei vielen Firmen ja runter, wie man die letzten 10 
Jahre so sieht, vor allem bei den Einsteigergehältern. Das hat auch und 
vor allem damit zu tun, wieviel die Firmen bezahlen müssen. Da das 
Angebot, gerade aus dem Ausland stetig gewachsen ist, muss man nicht 
mehr soviel zahlen. Dass alle das gleiche bekommen, heisst also noch 
nichts.

Vorsichtige Vermutung: Kann es sein, dass ihr deshalb keine Bewerbungen 
bekommt, weil ihr - wegen der Inder - eher mittelmäßig zahlt?
Was bekommt bei euch ein Anfänger, der die Kriterien erfüllt?

Ganz objektiv gesehen muss man entgegen dem Tenor deiner Aussage ja 
feststellen, dass es in Deutschland durchaus gute Absolventen gibt! Die 
richtig Guten können sich die Stellen sogar auch nach wie vor aussuchen. 
Ich habe hier Kontakt zu den Kollegen aus Karlsruhe und dem KIT und 
sehe, was die dort ausbrüten. Ok, nicht alles, was vom KIT kommt, ist 
automatisch gut, aber die Besten von dort müssen sich vor niemandem 
verstecken.

Beitrag #7494330 wurde von einem Moderator gelöscht.
Beitrag #7494555 wurde von einem Moderator gelöscht.
Beitrag #7494668 wurde von einem Moderator gelöscht.
Beitrag #7494849 wurde von einem Moderator gelöscht.
Beitrag #7494863 wurde von einem Moderator gelöscht.
Beitrag #7494887 wurde von einem Moderator gelöscht.
Beitrag #7494899 wurde von einem Moderator gelöscht.
von Falk B. (falk)


Lesenswert?

https://reitschuster.de/post/acht-lehrstuehle-fuer-kernforschung-173-fuer-genderwissenschaften/

Soll man da lachen oder weinen? Ich bin mir unschlüssig . . .

Andererseits. Wozu Lehrstühle für Kernforschung, wenn wir in Deutschland 
nie mehr ein AKW einschalten werden?

von Mann Fred (Gast)


Lesenswert?

Falk B. schrieb:
> Wozu Lehrstühle für Kernforschung, wenn wir in Deutschland
> nie mehr ein AKW einschalten werden?

Es gibt auch Lehrstühle für Altgriechischen Barbarismus und den 
Nazionalsozialismus, die beide (hoffentlich) nicht zurückkommen.

Außerdem: Ich persönlich halte das Thema Kernkraft in DE noch nicht für 
erledigt. Sobald die Bevölkerung merkt, dass wir wirtschaftlich von 
China und anderen EU Staaten mehr und mehr abgehängt werden, weil unsere 
produzierende Industrie den Strom nicht mehr bezahlen kann, bzw die 
Steuern zum Subventionieren ins uferlose steigen, wird sich der Tenor 
vom Klimakleben wieder wegbewegen. Auch die Elektroautos sind dann 
obsolet, weil sie keiner beladen kann.

Beitrag #7495311 wurde von einem Moderator gelöscht.
Beitrag #7495374 wurde von einem Moderator gelöscht.
Beitrag #7495440 wurde von einem Moderator gelöscht.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.