Forum: FPGA, VHDL & Co. Mikrocontroller in Verilog programmieren


von Maximilian (Gast)


Lesenswert?

Hallo,

ich weiß, dass Verilog eigentlich nur eine Hardwarebeschreibungssprache 
ist. Kann man aber trotzdem einen "normalen" Mikrocontroller z.B. einen 
Atmega32 mit Verilog programmieren?
Die Chips auf einem Xilinx-Board sind ja im weitesten SInne auch 
Mikrocontroller...

Wie kann man soetwas realisieren?

Danke,
Maximilian

von Manuel Kampert (Gast)


Lesenswert?

Therotisch müßte das sogar gehen... Es muß halt ein Compiler geschrieben 
werden der Verilog in Assembler übersetzt... Praktisch gibts das wohl 
eher nicht.

von Rick Dangerus (Gast)


Lesenswert?

@Maximilian:
Was verstehst Du unter programmieren? Das Dein Verilog-Code in 
AVR-Assembler übersetzt wird? Oder das Du mit Deinem Verilog-Code ein 
Modul beschreibst, was sich so verhält wie ein AVR?

Ersteres ist eher aufwendig und mir entzieht sich der Nutzen, zweiteres 
gibt es schon (in VHDL), nennt sich AX8 oder PAVR und ist auf 
opencores.org gehostet (siehe auch FPGA Soft Core).

Rick

von Krys D. (cumber_s)


Lesenswert?

Also wie ich das verstehe , Maximillian , du willst die Funktionallität 
des Microcontrollers in  veriolg programieren?
Also das müsste gehen, hab persönlich erfahrung in vhdl und da habe cih 
schon ne version vom Hcs12 geschrieben, nicht ganz alleine und mit hilfe 
aber ging dann doch schon.
Und Algorithmisches Programieren wird man mir verilog zwar hinbekommen 
aber das währe wie mit Kannonen nach spatzen schiessen, Grosse Kannonen 
mit Projektilen aus automaren Sprengköpfen!

von mr.chip (Gast)


Lesenswert?

Mit Verilog kannst du einen Mikrocontroller in einem FPGA aufbauen. Aber 
du kannst mit Verilog keinen bestehenden Mikrocontroller programmieren. 
Mag sein, dass es für sehr spezielle Anwendungen Compiler gibt, aber das 
sind absolute Spezialfälle, wo man sehr genau wissen sollte, was man 
tut.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.