Forum: FPGA, VHDL & Co. richtig libraries anlegen und benutzen


von daniel (Gast)


Lesenswert?

Ich habe wiedermal eine etwas sprachlastige Frage an Euch.

ich würde gerne einige meiner vhdl Dateien mit den enthaltenen
package's, entity's und architecture's in eine Library packen.

da einige entity's die Instanzen von anderen entity's enthalten
(also Hierarchie bzw Strukturbescreibung) habe ich bis jetzt immmer
library work;
use work.my_package.all;
use work.my_entityX;
benutzt, wenn ich das irgendwo gebraucht habe.

Wenn ich in der ISE(Xilinx) eine Library anlege und diese vhdl
Dateien alle hinzufüge, dann funktiert die interne Auflösung auch!
Allerdings grübele ich nach ob's auch richtig ist, denn work
ist die Library ja nicht mehr. Dann kam ich auf die Idee
work eben durch den Namen der Library zu ersetzen.
Damit können sich die entity's genauso auch über die Dateigrenzen 
"sehen",
da eine Library ja aus mehreren physikalischen Dateien zusammensetzen 
darf.
Was ist denn richtig?

Ein C Compiler sieht halt immer eine Datei und kompiliert diese zu *.o,
der Linker löst den Rest auf.
Wenn VHDL Analizer eine vhdl Datei in *der* Library analisiert,
so sollte er die gefundenen Design Einheiten doch nicht in work
packen, sondern in die Library.
Darum wundere ich mich jetzt, dass es mit ISE doch geht.
Ich werde später das Ganze mit Quartus ausprobieren, da ich das
Library handling dort noch nicht gemacht habe.

Gruss, daniel

von daniel (Gast)


Lesenswert?

ich präzesiere etwas ...

Darum wundere ich mich jetzt, dass es mit ISE doch geht, wenn ich
in den Dateien, vor den entity's das alte
1
library work;
2
use work.my_package.all;
belasse.

von Morin (Gast)


Lesenswert?

Hast du vielleicht noch gleichnamige Dateien im work-Verzeichnis?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.