Forum: FPGA, VHDL & Co. vhdl ISE10.1 simulation klappt nicht


von ajax (Gast)


Lesenswert?

Hallo,

folgenden kleinen Taktteiler habe ich mit VHDL realisiert. Zuerst die 
Frage: passt das so? Ich habe versucht, das ganze mit dem 
Waveform-Simulator zu testen, der Ausgang clk_out bleibt aber immer auf 
Null. Woran könnte das liegen? Wenn ich den Code auf mein Coolrunner 
CPLD Board flashe, blinkt die
LED wie erhofft, nur in der Simulation geht es nicht.

entity ch_clk_divider is

    Port ( clk_in : in  STD_LOGIC;

           clk_out : out  STD_LOGIC);

end ch_clk_divider;



architecture Behavioral of ch_clk_divider is

signal count: STD_LOGIC_VECTOR(9 downto 0 ):="0000000000";

begin

process (clk_in)

begin

   if clk_in='1' and clk_in'event then

      count <= count + 1;

   end if;

end process;

clk_out<=count(9);

end Behavioral;

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> count(9)
Hast du 1024 Taktzyklen abgewartet?
Sieh dir doch einfach mal den count an.

von ajax (Gast)


Lesenswert?

>count(9)

jaja, habe ich schon gemacht. Ich habe auch mal count(2) verwendet, aber 
dort blieb der Ausgang auch auf 0. Ich vermute, dass man beim Simulator 
was falsch einstellen kann, oder ISE einen eventuell manchmal nicht 
richtig funktioniert.

von Jan M. (mueschel)


Lesenswert?

Wie produzierst du denn clk_in?

von Nephilim (Gast)


Lesenswert?

benutzt du auch die richtige library für die addition ?

count <= count + 1;

vielleicht mal

count <= count + '1';

oder

count <= count + "0000000001";

versuchen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.