Forum: FPGA, VHDL & Co. Verilog nach VHDL umsetzen


von VHDL Anfänger (Gast)


Angehängte Dateien:

Lesenswert?

Wie kann ich den Code von AD7401.PDF auf Seite 15-16 von Verilog auf 
VHDL umsetzen?
Was ist da zu beachten? Eigentlich kann man das doch fast 1:1 
übernehmen?

von Antti Lukats (Gast)


Lesenswert?

ja fast 1:1 diese kode

aber warum? die meisten FPGA tools machen vhdl-verilog mixed
auch ohne probleme

ok, free Libero macht es nicht, aber sonst sollte kein
problem sein ein modul in verilog haben

Antti

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Du könntest dabei aber diese Orgien abkürzen:
1
 DATA[15] <= diff3[23]; 
2
 DATA[14] <= diff3[22]; 
3
 DATA[13] <= diff3[21]; 
4
 DATA[12] <= diff3[20]; 
5
 DATA[11] <= diff3[19]; 
6
 :
7
 :
8
 DATA[0]  <= diff3[8];
z.B. in
1
 DATA <= diff3(23 downto 8);

Das liest sich einfach kompakter...

von Antti Lukats (Gast)


Lesenswert?

na das geht ja in verilog auch :)
wenns lauft muss man nicht alles "nett" machen

Antti

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> wenns lauft muss man nicht alles "nett" machen
Richtig, das ist der beste Kopierschutz ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.