Forum: FPGA, VHDL & Co. XILINX Development Board Bausatz CX95144


von I.c.h (Gast)


Lesenswert?

Hallo zusammen,

ich interessiere mich jetzt schon seit einiger Zeit für FPGAs. Nachdem 
ich Pollin angemailt habe, ob die Ihr Xilinx-Board bald wieder im 
Sortiment haben und ein "wird nicht mehr nachgeliefert" zurück bekamen 
bin ich auf folgende Seite gestoßen:
http://www.elektrohobby.de/Bausaetze/XILINX-Development-Board-Bausatz.html

Meine Fragen sind nun:
1. Taugt das Board was (ich kann das nicht so einschätzen)?
2. Hat jemand Erfahrung mit dem Board?
und 3. Hat jemand Erfahrung mit dem Shop?


Danke im Vorraus

I.c.h

von BoM (Gast)


Lesenswert?

Das ist halt kein FPGA sondern ein CPLD. Aber als unerfahrener Anfänger 
ist es denke ich schon zu gebrauchen. Im Trenzshop gibt es günstige 
FPGA-Boards die auch direkt auf Lochraster passen... (Das soll jetzt 
keine Werbung sein, aber die Boards sind einen Blick Wert und kosten 
nicht wirklich viel. http://shop.trenz-electronic.de)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Aufpassen: FPGA /= CPLD
Wobei hier das UNGLEICH überaus stark ausgeprägt ist!!! Wenn du 
allerdings erst mal "nur" VHDL lernen willst, kannst du auch mit einem 
CPLD anfangen.

> 1. Taugt das Board was (ich kann das nicht so einschätzen)?
Das taugt genausoviel wie das Pollin-Board. Das ist offenbar gleich.

> 2. Hat jemand Erfahrung mit dem Board?
Alle, die Erfahrung mit dem Pollin-Board haben...

von I.c.h (Gast)


Lesenswert?

> Im Trenzshop gibt es günstige FPGA-Boards die auch direkt auf Lochraster  > 
passen...
Ja, da habe ich mir auch schon folgendes angeschaut: 
http://shop.trenz-electronic.de/catalog/product_info.php?cPath=1_47&products_id=478
Nachdem was ich da lese hat das auch einen USB-Programmer statt nur 
einen LPT-Programmer (mein PC hat zwar noch die LPT-Schnittstelle, USB 
ist mir aber lieber). Meint ihr das wäre ein besseres Einsteigerboard? 
Das hat offenbar auch ganz ordentlich Zubehör dabei.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Meint ihr das wäre ein besseres Einsteigerboard?
Ja. Aber du mußt aufpassen, wenn du externe Schaltungen anschliessen 
willst. Das Coolrunner2 CPLD ist nicht 5V kompatibel und nicht 5V 
tolerant.

von I.c.h (Gast)


Lesenswert?

Ah, danke für den Hinweis. Aber ich denke bis ich Verilog einigermaßen 
gut drauf habe (ich bring VHDL einfach nicht in mein Hirn) werde ich nix 
großes externes anschließen. Laut Datenblatt sind 4 7-Segementanzeigen, 
Taster und LEDs ja schon drauf.
Ansonsten habe ich gerade überlegt noch die paar Monate zu warten, bis 
ich in die Ausbildung gehe und selber Geld verdiene. Dann wäre 
vielleicht auch noch ein Xilinx Spartan-3 Starterkit drin. :-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Aber ich denke bis ich Verilog einigermaßen gut drauf habe
In Deutschland (Europa) wirst du für VHDL eher kostenlosen Support und 
Helfer finden... ;-)

> Ansonsten habe ich gerade überlegt noch die paar Monate zu warten
Beschreibungen mit VHDL/Verilog kannst du auch ganz einfach ohne 
Hardware machen. Simulation heißt hier das Stichwort. Aber drück ab 
und an auf den Synthese-Button, denn du kannst sehr vieles beschreiben, 
was sich zwar hübsch simulieren, aber anschliessend nicht in Hardware 
abbilden lässt.

von I.c.h (Gast)


Lesenswert?

>> Aber ich denke bis ich Verilog einigermaßen gut drauf habe
> In Deutschland (Europa) wirst du für VHDL eher kostenlosen Support und
> Helfer finden... ;-)
Ja, ich weis. Aber wie gesagt wenn ich mir die Quellcodes von VHDL und 
Verilog gegenüber stelle, finde ich Verilog wesentlich ansprechender und 
einfacher.

> Beschreibungen mit VHDL/Verilog kannst du auch ganz einfach ohne
> Hardware machen. Simulation heißt hier das Stichwort.
Ist auch klar. Ich dachte nur wenn ich eine LED via CPLD/FPGA leuchten 
lasse ist das schöner diese dann auch zu sehen.
Aber ich denke ich werde erstmal Verilog lernen und mich dann um die 
Hardware kümmern.

Vielen Dank an alle!


MfG

I.c.h

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Ja, ich weis.
Sag hinterher blos nicht, es hätte dir keiner gesagt... ;-)

> finde ich Verilog wesentlich ansprechender und einfacher.
Du darfst nicht von Trivialbeispielen in App-Notes ausgehen...

Nimm das Buch VHDL-Synthese von Reichardt/Schwarz zur Hand, und dir 
werden die Augen aufgehen. Prinzipiell mußt du sowieso die Denkweise 
hinter der Hardwarebeschreibung verstehen (dann ist es egal, mit welcher 
Sprache du beschreibst), und dieses Buch ist dafür schlichtweg ideal. 
Und dann siehst du dir einfach mal noch ein paar Beispiele von meiner HP 
an, und du wirst sehen: VHDL muß nicht zwingend so kompliziert und 
umständlich sein.
Z.B. das Lauflicht (das Hello World der Hardware) in 6 verschiedenen 
Varianten: http://www.lothar-miller.de/s9y/archives/61-Lauflicht.html

von I.c.h (Gast)


Lesenswert?

>> Ja, ich weis.
> Sag hinterher blos nicht, es hätte dir keiner gesagt... ;-)
Amen.

> Nimm das Buch VHDL-Synthese von Reichardt/Schwarz zur Hand, und dir
> werden die Augen aufgehen.
Ok, danke werde ich mir mal anschauen.

von user (Gast)


Lesenswert?


von I.c.h (Gast)


Lesenswert?

> wie wäre es mit dem FPGA-board?
>
> http://shop.trenz-electronic.de/catalog/product_in...
Sieht auch sehr interessant aus. Vielen Dank!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.