Hallo,
Ich bin gerade dabei, einen Versuch mit WebPack 12.1 zu starten.
Die Installation war schon mal erfolgreich, beim Synthetisieren des
Testprojekts tauchten aber nun die ersten Probleme auf.
Das auf V9.2 problemlos synthetisierbare/implementierbare Projekt konnte
in V12.1 nicht synthetisiert werden.
Das bemängelte File enthält im Wesentlichen dies:
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 |
|
4 | package KUSB_TypeDef_Package is
|
5 | type DutyCycleArray is array(12 downto 1) of std_logic_vector(7 downto 0);
|
6 | end package KUSB_TypeDef_Package;
|
Im Transcript Log wurde folgendes gemeldet:
1 | Started : "Synthesize - XST".
|
2 | Running xst...
|
3 | ...
|
4 | Compiling vhdl file "C:/.../TypeDef_Package.vhd" in Library work.
|
5 | ERROR:HDLParsers:3014 - "C:/.../TypeDef_Package.vhd" Line 22. Library unit std_logic_1164 is not available in library ieee.
|
6 | WARNING:HDLParsers:3481 - Library work has no units. Did not save reference file "xst/work/hdllib.ref" for it.
|
7 | ...
|
8 | Process "Synthesize - XST" failed
|
Nun habe ich mich ehrlich gesagt mit dem ganzen Library-Gedöns nie
wirklich auseinandergesetzt, die zu benutzenden Library-Angaben wurden
vom Kollegen übernommen.
Welche Library muss ich denn nun nach aktuellem Stand der Dinge
einfügen, um die Standardtypen wie std_logic_vector() etc. zu nutzen?
Gruss, Martin