Forum: FPGA, VHDL & Co. crc16 generator polynom in vhdl


von alis (Gast)


Lesenswert?

hallo leute,
ich will ein crc-generator für ein 32bit-datenframe und
16bit-checksumme in vhdl schreiben. allerdings wiess nicht genau wie
ich vorgehen soll (xor operation?!)
kann mir hier jemand helfen oder mich auf ein beispiel hinweisen...
vielen dank.

von Yagan Z. Dongobar (Gast)


Lesenswert?

Hallo,

bei xilinx.com gibt es einige Applikationen mit CRC inklusive
VHDL-Sourcecode. Einfach mal dort mit +CRC +VHDL suchen.

Ciao, Yagan

von Udo (Gast)


Lesenswert?

Hier gibt es ein CRC Tool:
"http://www.easics.be/webtools/crctool";

von alis (Gast)


Lesenswert?

vielen dank jungs... die links haben mir sehr geholfen...

von Heuler1970 (Gast)


Lesenswert?

Eine Frage zu dem Tool: Es generiert Code, welcher 2 inputs besitzt. 
Einen für die Daten und einen für einen CRC. Siehe hier :
1
function nextCRC8_D8
2
(
3
  Data:  std_logic_vector(7 downto 0);
4
  CRC:   std_logic_vector(7 downto 0)
5
)
6
return std_logic_vector;

Nach meinem Verständnis brauche ich doch keinen vorherigen CRC, oder?

Wie benutzt man das ?

von Martin L. (melvin_the_moose)


Lesenswert?

> Nach meinem Verständnis brauche ich doch keinen vorherigen CRC, oder?

Doch, die CRC muß initialisiert werden. Neben dem Generatorpolynom muß 
auch der Initwert bekannt sein.

von Heuler1970 (Gast)


Lesenswert?

Hm, ok - und wie geht es dann weiter? Muss dann immer der alte wieder 
reingesetzt werden?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.