Hallo, guten Tag. Wo findet bitte hier in diesem Programm zb die sogenannte Verdrahtung statt? Danke. Gruss -------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity blink is port ( clk : in std_logic; led : out std_logic_vector (1 downto 0) ); end entity blink; architecture blink_arch of blink is signal state : std_logic_vector(1 downto 0); signal terminal_count : std_logic; signal count : unsigned(24 downto 0) := (others => '0'); begin led <= state; process begin wait until rising_edge(clk); count <= count + 1; if count > 30000000 then terminal_count <= '1'; count <= (others => '0'); else terminal_count <= '0'; end if; end process; process begin wait until rising_edge(clk); if terminal_count = '1' then case state is when "01" => state <= "10"; when "10" => state <= "01"; when others => state <= "01"; end case; end if; end process; end architecture blink_arch; ---------------------------------------
Benutzt wird der Begriff ja immer dann, wenn entweder ein logisches VHDL-Design mit einer Physik verbunden wird, also hier den Ports (clk, led ect) oder aber eine ->Komponente im VHDL eingebaut wird und per ->mapping angeschlossen wird.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.