Forum: FPGA, VHDL & Co. Projekte im Grafikmodus herstellen mit Quartus2


von Peter B. (funkheld)


Lesenswert?

Hallo, guten Tag.

Ich habe jetzt mal einige Projekte im Grafikmodus erstellt mit 
QuartusII:
Und-Oder-Schaltung.

Funktioniert wunderbar.
Kann man diese erstellte Schaltung auch irgendwie als Text nachlesen?

Für den Grafikmodus gibt es ja unzählige Baugruppen die man benutzen 
kann.
Werden diese Baugruppen intern dem originalen Baugruppen nachgespielt?

Wie kann man eigentlich im Grafikmodus dieses clk_50mhz auf clk_20mhz 
runtersetzen so wie man es im Text machen kann.
Wie kann ich eigentlich dieses herstellen zb :  red_out   : OUT 
STD_LOGIC_VECTOR(3 downto 0);

Danke.
Gruss

von Micha (Gast)


Lesenswert?

Peter Bierbach schrieb:
> Wie kann man eigentlich im Grafikmodus dieses clk_50mhz auf clk_20mhz
> runtersetzen so wie man es im Text machen kann.

Wie machst du das im "Text"?
=>Bei einem duty cycle von 0,5 garnicht ;)
Suche mal nach PLL

von Peter B. (funkheld)


Lesenswert?

So hab ich es im Text:
process (clk50_in)
begin
  if clk50_in'event and clk50_in='1' then
    clk25 <= not clk25;
  end if;
end process;

Danke.
Gruss

von Micha (Gast)


Lesenswert?

Jap,
da hast du einfach jeden zweiten Takt von den 50MHz genommen. Das 
funktioniert bei einem duty cycle von 0,5 auch. Oben hast du aber was 
von 20MHz geschrieben. Das wäre so einfach nicht möglich. Und dafür 
bräuchtest du dann eine PLL. Die gibt es bei Altera auch grafisch 
(Megafunction) und du kannst sogar mit einer Eingangsclock mehrere 
Ausgangsclocks erzeugen.

Siehe z.B. hier
https://www.google.de/#q=altera+pll

von Peter B. (funkheld)


Lesenswert?

Ja, da hatte ich mich verschrieben.

Danke.
Gruss

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.