Hallo,guten Tag. Ich habe jetzt immer die gleichen Namen benutzt. Im Hauptdisgn und in dem Design wo die Daten übergeben werden. Wie funktioniert das, wenn ich verschiedene Namen habe bei beiden? Danke. Gruss ----------------------------------------------- component compo port( dat_bus : inout integer range 0 to 255 := 0; ledg : out STD_LOGIC_VECTOR(7 downto 0); test : inout std_logic ); end component; begin io1 : compo port map(dat_bus,ledg,test); .......... -------------------------------------------
Ich meinte, das in beiden Beschreibungen verschiedene Namen genommen werden. Wie werden die Werte dann übergeben? Danke. Gruss
Die Signale im drüber liegenden Level können komplett anders heißen. Nur der Typ muss passen. Geöhn dir die ausführliche Schreibweise für die Instanziierung an, dann hast du dein Verständnisproblem nicht.
Jup, das klappt nicht mehr so nach dem Schlaganfall. Lasse viele Sachen aus oder vergess es wieder... Da kommt ihr auch mal hin. Gruss
Naja, nicht jeder erleidet einen Schlaganfall. Aber keiner wird jünger, da hast du wohl recht.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.