Forum: FPGA, VHDL & Co. pins.ucf in ISE vs. system.ucf in XPS


von Kr0n0ss S. (Firma: Universität Oldenburg) (kr0n0sss)


Lesenswert?

Moin Moin,
ich bin gerade dabei einen IP-Core ( eine Motoransteuerung ) aus einem 
ISE Project in eine XPS Kette zu integrieren. Im ISE-Project hatte ich 
eine pins.ucf mit der ich die entsprechenden Signale auf die externen 
Pins des FPGAs ( Atlys Spartan 6 ) gelegt hatte. Hat auch wunderbar 
funktioniert. Jetzt habe ich die selben Einträge in der system.ucf in 
XPS vorgenommen und es funktioniert nicht mehr wunderbar.. ich bekomme 
folgende Fehlermeldung:

ERROR:ConstraintSystem:59 - Constraint <Net "nSYNC" LOC = "T3";>
   [system.ucf(77)]: NET "nSYNC" not found.  Please verify that:
   1. The specified design element actually exists in the original 
design.
   2. The specified object is spelled correctly in the constraint source 
file.
ERROR:ConstraintSystem:59 - Constraint <Net "D1" LOC = "R3";> 
[system.ucf(78)]:
   NET "D1" not found.  Please verify that:
   1. The specified design element actually exists in the original 
design.
   2. The specified object is spelled correctly in the constraint source 
file.

usw.

Wäre super, wenn mir jemand auf die Sprünge helfen könnte.

Viele Grüße,
Kr0n0sss

von Duke Scarring (Gast)


Lesenswert?

Kr0n0ss Sss schrieb:
> NET "nSYNC" not found.
Dein Signal, waa zum IO-Pin geht hat jetzt offenbar einen anderen Namen.
Schau mal, ob Du einen Pin-Report findest, wo der neue Name auftaucht.

Duke

von Kr0n0ss S. (Firma: Universität Oldenburg) (kr0n0sss)


Lesenswert?

Jau.. danke!!! Das hat auf jeden Fall geholfen. Ich habe herausfinden 
können woran es liegt und es hatte was mit Namen zu tun. Die *.mpd Datei 
muss seine Namen enthalten.. sondern nur "".. dann kommen auch die 
pins..

Aber.. jetzt habe ich ein weiteres Problem.. und zwar werden alle 
Signale erkannt.. sieht jetzt wie folgt aus:

[code]
Net spi_microwire_0_nSync_pin LOC = "T3";
Net spi_microwire_0_D1_pin LOC = "R3";
Net spi_microwire_0_D2_pin LOC = "P6";
Net spi_microwire_0_CLK_OUT_pin LOC = "N5";
[\code]

Aber.. der XPS nervt immernoch rum..

[code]
ERROR:MapLib:30 - LOC constraint N5 on spi_microwire_0_CLK_OUT_pin is 
invalid:
   No such site on the device. To bypass this error set the environment 
variable
   'XIL_MAP_LOCWARN'.
[\code]

Die Namen sind alle richtig.. weil ich es bei den ersten drei Signalen 
analog gemacht habe.. und zur Sicherheit jetzt alle Namen nur noch 
kopiert habe..wieso jetzt genau einer nicht funktioniert ist mir ein 
Rätsel! Könnte es etwas damit zu tun haben, dass ein "CLK" im Namen 
steckt!?

von Duke Scarring (Gast)


Lesenswert?

Kr0n0ss Sss schrieb:
> No such site on the device.
Also entweder der Pin ist falsch oder das eingestelle 'device', sprich 
der FPGA.
Was für ein FPGA wird denn genau verwendet?
Und welche Version von ISE/XPS hast Du installiert?

Duke

von Kr0n0ss S. (Firma: Universität Oldenburg) (kr0n0sss)


Lesenswert?

Ich weiß nicht wie.. aber das eingestellte Device war tatsächlich auf 
das falsche package eingestellt. Danke für den Hinweis :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.