Forum: Mikrocontroller und Digitale Elektronik Vorteiler 10:1 mit 74HCT390 - Probleme


von Timo E. (tetra)


Angehängte Dateien:

Lesenswert?

Hallo Leute,

ich habe entsprechend folgender Schemazeichnung (Quelle: 
http://www.mg-elektronik.de/fz5m.pdf) einen 10:1-Teiler mit dem 74HCT390 
versucht aufzubauen (Ausschnitt siehe Anhang).
Wenn ich jedoch an F_IN 1000 HZ (aus dem Oszi-Frequenzgenerator) 
einspeise, messe ich am Ausgang F_OUT nur 166 HZ (also knapp 6:1). Habe 
ich hier etwas falsch verstanden? Wo liegt der Fehler?
Ist eine andere Beschaltung korrekt?

Danke fürs antworten,
Timo

von S. Landolt (Gast)


Lesenswert?

Beim ersten Teiler an QD auskoppeln statt an QC.

von Timo E. (tetra)


Lesenswert?

Hallo S. Landolt,

habe jetzt, wie empfohlen, an Qd anstelle Qc ausgekoppelt, es ändert 
sich aber nichts. Die Frequenz F_OUT bleibt bei 166 Hz.
Noch andere Ideen?

Timo

von hp-freund (Gast)


Lesenswert?

Timo E. schrieb:
> aus dem Oszi-Frequenzgenerator

Und der bringt TTL Pegel?

von karadur (Gast)


Lesenswert?

100nF an der Versorgung ?

von Axel S. (a-za-z0-9)


Lesenswert?

Timo E. schrieb:
> ich habe entsprechend folgender Schemazeichnung (Quelle:
> http://www.mg-elektronik.de/fz5m.pdf) einen 10:1-Teiler mit dem 74HCT390
> versucht aufzubauen (Ausschnitt siehe Anhang).

OK. Ist mir zwar schleierhaft, warum die da beide Hälften des '390 nur 
jeweils halb verwenden, aber was solls.

> Wenn ich jedoch an F_IN 1000 HZ (aus dem Oszi-Frequenzgenerator)
> einspeise, messe ich am Ausgang F_OUT nur 166 HZ (also knapp 6:1).

Dann mißt du Mist.

Womit hast du das gemessen? Ist die Betriebsspannung sauber und auch 
vernünftig abgeblockt? Woher kommt das 1kHz Signal? Wenn du hast, schau 
dir die Signale mit dem Oszi an. Im Idealfall mit zwei Kanälen immer 
zwei Signale.

a) Eingang und QC - QC immer für 2 Takte auf H dann 3 Takte auf L, 
Wechsel mit der fallenden Flanke am Eingang. f(QC)= 200Hz.

b) QC und QA - QA wechselt zwischen H und L, mit der fallenden Flanke an 
QC. f(QA)= 100Hz.

S. Landolt schrieb:
> Beim ersten Teiler an QD auskoppeln statt an QC.

Unsinn. QD teilt genauso wie QC durch 5. Allerdings sind die H-Impulse 
an QD kürzer.

von Helmut K. (hk_book)


Lesenswert?

Ist der HC390 überhaupt an die Versorgung (+5V/0V) angeschlossen? Im 
Schaltbild ist die ja nicht erwähnt, weil der Ersteller davon ausgeht, 
dass "man" das weiss...

von Timo E. (tetra)


Lesenswert?

Hallo Helmut,

habe nachgemessen, TTL-Pegel 5 V.
Gruß,
Timo

von Timo E. (tetra)


Lesenswert?

Hallo Karadur,

guter Tip, werde ich gleich mal anschließen..
Gruß,
Timo

von hp-freund (Gast)


Lesenswert?

Timo E. schrieb:
> habe nachgemessen, TTL-Pegel 5 V.

Am Kalibrier-Ausgang der Oszi auch?
Welches Oszi hast Du?

von Timo E. (tetra)


Lesenswert?

Axel Schwenke schrieb:
> Womit hast du das gemessen? Ist die Betriebsspannung sauber und auch
> vernünftig abgeblockt? Woher kommt das 1kHz Signal? Wenn du hast, schau
> dir die Signale mit dem Oszi an. Im Idealfall mit zwei Kanälen immer
> zwei Signale.

Hallo Axel,
Am Oszi liegt an Kanal1 das 1 KHZ-Signal (aus dem Oszi, 5 V TTL). An 
F_OUT habe ich Kanal 2 angeschlossen, und je nachdem, nach welchem Kanal 
ich triggere, zeigt mir das Oszi die Frequenz des entsprechenden Kanals 
an.

Axel Schwenke schrieb:
> Dann mißt du Mist.

Wahrscheinlich hast Du Recht: Nachdem ich Kondensatoren zum Abblocken 
angelegt habe, sank die Frequenz etwas ab (von 166 auf ca. 133). Ich bin 
jetzt verwirrt. Wie kann das passieren? An dem 5 V-Netzteil hängt sonst 
keine Last dran, der IC ist auf einem Steckbrett aufgebaut, und bei 1 
KHz dürfte das doch keine Probleme machen!

Prüfe eben noch etwas...
Gruß,
Timo

von Timo E. (tetra)


Lesenswert?

Hallo hp-freund,

Oszi: 1062D (Voltcraft),
Am Kalibrierausgang stehen 1 KHz, 5 V zur Verfügung (mit dem Oszi 
gemessen)

Gruß,
Timo

von ArduinoQuäler (Gast)


Lesenswert?

Timo E. schrieb:
> Oszi: 1062D (Voltcraft),
> Am Kalibrierausgang stehen 1 KHz, 5 V zur Verfügung (mit dem Oszi
> gemessen)

Evtl Messfehler .....

Bandbegrenzung einschalten, und für Frequenzmessung mindestens
2 Perioden des gemessenen Taktes am Display darstellen.
Auf das Ziel-Signal triggern, nicht auf das 1 KHz Signal!

von Timo E. (tetra)


Lesenswert?

Axel Schwenke schrieb:
> a) Eingang und QC - QC immer für 2 Takte auf H dann 3 Takte auf L,
> Wechsel mit der fallenden Flanke am Eingang. f(QC)= 200Hz.
>
> b) QC und QA - QA wechselt zwischen H und L, mit der fallenden Flanke an
> QC. f(QA)= 100Hz.

Hallo Axel,

habe jetzt mal die Zwischenstufen vermessen:

a) An QC (Pin 6) messe ich 333 Hz (also 3:1), anstelle von 200 Hz
b) An QA (Pin 13) messe ich weiterhin 166 Hz (also 2:1 von a)

Also scheint in der 1. Stufe ein Fehler zu liegen: anstatt 5:1 wird nur 
3:1 geteilt, die 2. Stufe teilt korrekt 2:1.

Gruß,
Timo

von Axel S. (a-za-z0-9)


Lesenswert?

Timo E. schrieb:
> Axel Schwenke schrieb:
>> Womit hast du das gemessen? Ist die Betriebsspannung sauber und auch
>> vernünftig abgeblockt? Woher kommt das 1kHz Signal? Wenn du hast, schau
>> dir die Signale mit dem Oszi an. Im Idealfall mit zwei Kanälen immer
>> zwei Signale.
>
> Am Oszi liegt an Kanal1 das 1 KHZ-Signal (aus dem Oszi, 5 V TTL). An
> F_OUT habe ich Kanal 2 angeschlossen, und je nachdem, nach welchem Kanal
> ich triggere, zeigt mir das Oszi die Frequenz des entsprechenden Kanals
> an.

Meine Güte, dann geh halt mal systematisch vor. Eingangssignal auf einen 
Kanal, den anderen Kanal des Oszis der Reihe nach an QB, QC und QD und 
QA halten und gucken ob die Signale so aussehen, wie sie laut Datenblatt 
aussehen sollten.

>> Dann mißt du Mist.
>
> Wahrscheinlich hast Du Recht: Nachdem ich Kondensatoren zum Abblocken
> angelegt habe, sank die Frequenz etwas ab (von 166 auf ca. 133). Ich bin
> jetzt verwirrt. Wie kann das passieren?

Wahrscheinlich Dreckimpulse, die dein Oszi zwar zählt, aber nicht mehr 
anzeigt.

> der IC ist auf einem Steckbrett aufgebaut, und bei 1
> KHz dürfte das doch keine Probleme machen!

Aber hallo! Enscheidend ist nicht die Frequenz, sondern die Anstiegszeit 
der Pulse. Steckbrett ist sowieso Mist. Sobald die ein bisschen älter 
sind (oder wenn schlechte Qualität, dann auch neu) haben sie 
Übergangswiderstände jenseits von gut und böse. Nimm lieber eine 
IC-Fassung und ein paar Drähte. So viel ist da ja nicht zu verdrahten.

von hp-freund (Gast)


Lesenswert?

Teil doch erst mal durch 2 und schau was dabei rauskommt.

von hinz (Gast)


Lesenswert?

S. Landolt schrieb:
> Beim ersten Teiler an QD auskoppeln statt an QC.

Das ist in dem Fall egal.

von karadur (Gast)


Lesenswert?

Der 74HC390 hat 2 Teiler durch 10.

Nimm doch mal die andere Chiphälfte. z.B. Pin 7 nach 1 ( GND trennen von 
1 )

Ausgang ist dann Pin 3

von Timo E. (tetra)


Lesenswert?

Axel Schwenke schrieb:
> Nimm lieber eine
> IC-Fassung und ein paar Drähte. So viel ist da ja nicht zu verdrahten.

Hallo Axel,

OK! Ich werde das IC mal mit Fassung auf eine Lochrasterplatine löten, 
verkabeln und dann nochmal nachmessen.
Es liegt bestimmt nur an "dreckigen" Signalen, der prinzipielle Aufbau 
scheint ja zu stimmen.

Melde mich morgen wieder, Danke erst mal für alle Tips!!
Gruß,
Timo

von Timo E. (tetra)


Lesenswert?

ArduinoQuäler schrieb:
> Bandbegrenzung einschalten, und für Frequenzmessung mindestens
> 2 Perioden des gemessenen Taktes am Display darstellen.
> Auf das Ziel-Signal triggern, nicht auf das 1 KHz Signal!

Hallo ArduinoQuäler,

habe ich gemacht. Leider gleiches Ergebnis.
Gruß,
Timo

von Achim S. (Gast)


Lesenswert?

Timo E. schrieb:
> Leider gleiches Ergebnis.

dann gilt immer noch, was Axel gesagt hat:

Axel Schwenke schrieb:
> Meine Güte, dann geh halt mal systematisch vor. Eingangssignal auf einen
> Kanal, den anderen Kanal des Oszis der Reihe nach an QB, QC und QD und
> QA halten und gucken

Mach wenigstens mal eine dieser Messungen und zeige uns das Ergebnis 
(als Screenshot des Oszis).

von Timo E. (tetra)


Angehängte Dateien:

Lesenswert?

Achim S. schrieb:
> Mach wenigstens mal eine dieser Messungen und zeige uns das Ergebnis
> (als Screenshot des Oszis)

Hallo Achim,

so, habe jetzt alles zusammengelötet und erst mal nur den ersten 
Teilerschritt getestet (also F_IN an Pin 4 und F_out an Pin 6 Qc).
Habe dann von Q0 bis Q3 den Salea drangehangen und Oszi-Screenshots 
gemacht.

a) Saleae-Screenshot
Mir fehlt zwar noch viel Erfahrung, aber es kommen keine regelmäßigen 
Signale, die ich eigentlich erwartet hätte und ein paar Spikes sind zu 
sehen, als ob immer noch "Dreck" eingespeist wird.

b) Oszi-Screenshot
Auch hier ist zu sehen, dass Kanal1 einen unregelmäßigen Signalverlauf 
aufweist.

Koppelkondensator ist zwischen Masse und Vcc angebracht.
Ich werde mal die 2. Seite des ICs testen, sonst weiss ich nicht mehr 
weiter...

Gruß,
Timo

von ArduinoQuäler (Gast)


Lesenswert?

Timo E. schrieb:
> sonst weiss ich nicht mehr weiter...

Ich denke es wird Zeit dass du uns deinen ganzen Aufbau zeigst,
nicht nur einen Schaltplan.

Auch das Signal das du einspeist, und die Stromversorgung dazu ....
Massebezüge sollten klar sein und auch zu sehen .....

Leider sind reine Logik-Anzeigen (linkes Bild) nicht dazu geeignet
Fehler in Impulsen herauszufinden. Für die Fehlersuche braucht man
also eine Analog -Darstellung mit möglichst hoher Bandbreite.

1 Khz zu verarbeiten sollte iegentlich nicht soooo schwierig sein.

von Timo E. (tetra)


Angehängte Dateien:

Lesenswert?

Hallo ArduinoQuäler,

ArduinoQuäler schrieb:
> 1 Khz zu verarbeiten sollte iegentlich nicht soooo schwierig sein.

Habe ich mir auch gedacht. Auf dem Foto des Aufbaus sieht man, dass es 
eigentlich ein trivialer Aufbau ist. Auf Lochraster gelötet, Pin 1,2, 
und 8 sind mit GND verbunden (über Krokoklemmen mit dem Netzteil). Pin 2 
ist unterhalb der Platine an Pin 1 verbunden (sieht man daher auf dem 
Foto nicht).

Über Krokoklemme wird vom Oszi der abgriff des 1 KHz-Signals vorgenommen 
und an dem F_IN-Pin 4 eingespeist. Über weitere Lötnägel werden die 
Ausgänge Q0 bis Q3 abgezapft.

Wo soll den da eine Störung reinkommen?

Habe sicherheitshalber das IC gegen ein anderes ausgetauscht, keine 
Verbesserung, IC ist also nicht defekt.
Gruß,
Timo

von karadur (Gast)


Lesenswert?

100nF!!!  kurz zwischen Pin 8 und 16

von Franz B. (rcs)


Lesenswert?

Du bläst da irgendwas rein von deinem Oszilloskop. Wo ist denn der 
Massebezug vom/zum Oszilloskop?

von Michael U. (amiga)


Lesenswert?

Ich vermisse 100n zwischen Ub und GND so dicht wie möglich an den 
IC-Anschlüssen.
Die Eingänge der jeweils nicht genutzten Zähler liegen auf einem festen 
Potenzial (GND oder Ub oder über 10k an Ub o.ä) und hängen nicht einfach 
offen rum?

Teste statt des Schaltnetzteils mal mit irgendeiner Batterie (4,5V 
reichen), es wäre nicht das erste Schaltnetzteil, daß diverse Störungen 
verursacht.
Der 100µ Elko irgendwo in der Gegend dürfte da so ziemlich garkeinen 
Effekt haben.

Alles, was nach CMOS aussieht, darf keine offenen unbeschalteten 
Eingänge haben, auch wenn Teile nicht genutzt werden. Gerade bei 
freifliegenden Aufbauten passieren da die seltsamsten Sachen.

Gruß aus Berlin
Michael

von Achim S. (Gast)


Lesenswert?

Danke Timo, jetzt kann man doch mal was konkretes erkennen. Durch diese 
Messungen wird z.B. deutlich, dass die Pegel des Eingangssignals 
ausreichend sind und als Fehlerquelle nicht in Frage kommen.

Konzentrieren wir uns erst mal wirklich auf die Oszi-Messung: welchen 
Signale genau sind hier zu sehen? FIN und QC aus deiner Schaltung am 
Anfang des Threads (Pin 5 und 6)? (d.h. sollte das Signal hier um den 
Faktor 8 geteilt sein) Oder schauen wir auf andere Pins?

Ein Hinweis zu den Oszmessungen: stelle besser die Achseneinstellungen 
nicht auf so "krumme" Werte wie 800µs. Wenn du auf 1ms/Kästchen gehst, 
kann man die Zeiten viel einfacher ablesen. Und stelle den Tastkopf wenn 
möglich auf x10 (Schieber am Tastkopf und Einstellung am Oszi). Bei der 
hier gezeigten Messung macht das keinen sichtbaren Unterschied, aber bei 
der nächsten, um die ich dich bitten werde, wird das wichtig sein.

Es könnte sein, dass die Signalflanken unsauber sind und der Baustein 
von einer Schaltflanke gleich mehrfach getriggert wird. Deswegen würde 
ich gerne mal die Schaltflanken im Detail sehen. Kannst du auf die 
negative Flanke des Eingangssignals triggern und die Zeitachse so weit 
aufdrehen, dass man das Anstiegsverhalten der Flanke sehen kann? Also 
z.B. 20ns pro Kästchen oder so.. Dann triggerst du mehrmals nacheinander 
mit "Single" am Oszi und schaut, ob alle Flanken sauber aussehen oder ob 
zwischendurch mal eine komisch wirkt. Die "komische" könntest du uns 
wieder zeigen.


Ich sehe gerade deine Fotos vom Aufbau: der 100µF Siebkondensator an den 
Strippen nützt gar nichts. Du brauchst 100nF, die direkt beim IC 
zwischen VCC und GND aufgelötet sind.

Ansonsten kannst du bitte sicherheitshalber mal alle anderen wichtigen 
Eingänge des Chips mit dem Oszi anschauen (VCC, GND, CLEAR, A) und 
überprüfen, ob dort alles so aussieht, wie es sollte.

von ArduinoQuäler (Gast)


Lesenswert?

- Ich sehe kein Abblock-C für den 390 (das Bild hätte etwas
grosszügiger ausfallen dürfen). zeig uns die ganze Platine,
auch von der Rückseite.

- du brauchst eine saubere direkte Masseverbindung der Oszi-
Quelle zu deiner Schaltung. Der Tastkopf tut es nicht! Nimm
einen Draht, wickle ihn um eine BNC-Buchse und führe ihn zu
deiner Schaltung

- Der 100 uF Kondensator gehört auf die Platine, nicht irgendwo
in den fliegenden Aufbau.

- Einstreuungen (Störungen) können passieren über die gelbe
Leitung (aus der Ferne nicht klar zu beurteilen).
HCMOS - Eingänge sind sehr hochohmig und damit sehr empfundlich.

Versuchshalber koppelst du über einen Widerstand 100-500 Ohm
am 1KHz Testausgang dein Signal in die Schaltung ein. Die
dargestellten Signale scheinen eine Mehrfach- bzw Fehltriggerung
des Clock Eingangs hinzudeuten. Mit diesem Test handelt man sich
evtl. Schwierigkeiten ein da die erforderliche Anstiegszeit des
390 nicht mehr erfüllt, aber einen Versuch ist es Wert.

von hp-freund (Gast)


Angehängte Dateien:

Lesenswert?

Nach dem Kalibrierausgang des Osci hatte ich gefragt um evtl. 
festzustellen das da nicht Impulse mit -2,5V ... +2,5V rauskommen. Das 
würde einiges erklären, scheint aber nicht so zu sein.

Ich hab mal eine Simulation des 74390 bei 1MHz angehängt.
So etwa sollte das Ergebnis aussehen.

von Franz B. (rcs)


Lesenswert?

hp-freund schrieb:
> Ich hab mal eine Simulation des 74390 bei 1MHz angehängt.
> So etwa sollte das Ergebnis aussehen.

Womit hast Du simuliert? Mit welcher Software?

von hp-freund (Gast)


Lesenswert?

Was ist mit Reset an Pin14? Masse?

von hp-freund (Gast)


Lesenswert?

Franz Behlon schrieb:
> Womit hast Du simuliert? Mit welcher Software?

Die alte von Xilinx ;-)

von ArduinoQuäler (Gast)


Lesenswert?

hp-freund schrieb:
> Ich hab mal eine Simulation des 74390 bei 1MHz angehängt.
> So etwa sollte das Ergebnis aussehen.

Du solltest eher auf die Physik deiner Schaltung eingehen.

von Franz B. (rcs)


Lesenswert?

hp-freund schrieb:
> Die alte von Xilinx ;-)

Wenn es da noch ein-zwei winzigste Informationen mehr zu gibt, findest 
Du Zugang in mein Abendgebet. Versprochen ;-)

von hp-freund (Gast)


Lesenswert?

Franz Behlon schrieb:
> Wenn es da noch ein-zwei winzigste ...

Na gut. Xilinx Foundation F3.1i.
Hab ich mal mit Lizens gekauft. Läuft aber nur/auch mit Trick :-)

von Franz B. (rcs)


Lesenswert?

Dafür herzlichen Dank!

von Timo E. (tetra)


Angehängte Dateien:

Lesenswert?

Hallo Leute,

habe jetzt bereits ein paar Dinge überarbeitet:

Achim S. schrieb:
> Konzentrieren wir uns erst mal wirklich auf die Oszi-Messung: welchen
> Signale genau sind hier zu sehen? FIN und QC aus deiner Schaltung am
> Anfang des Threads (Pin 5 und 6)? (d.h. sollte das Signal hier um den
> Faktor 8 geteilt sein) Oder schauen wir auf andere Pins?

In diesem Screenshot pic_41_4.gif sieht man das 1 KHz Eingangssignal an 
Pin 4 (F_IN) und das Ausgangssignal an Pin 6 (Qc). Getriggert wurde auf 
das Ausgangssignal, angezeigt werden 250 Hz.

ArduinoQuäler schrieb:
> - Der 100 uF Kondensator gehört auf die Platine, nicht irgendwo
> in den fliegenden Aufbau.

Habe ich am Stromversorgungszugang angelötet, sitzt jetzt also auf der 
Platine (Aufbau2.png).

Achim S. schrieb:
> Du brauchst 100nF, die direkt beim IC
> zwischen VCC und GND aufgelötet sind.

Habe ich auf der Rückseite der Platine zwischen Pin 1 und 16 angelötet 
(Aufbau2.png).

Achim S. schrieb:
> Kannst du auf die
> negative Flanke des Eingangssignals triggern und die Zeitachse so weit
> aufdrehen, dass man das Anstiegsverhalten der Flanke sehen kann?

Habe Screenshot beigefügt pic_41_2.gif. Sind die Flanken ausreichend 
steil? Gemessen am Osziausgang.

ArduinoQuäler schrieb:
> du brauchst eine saubere direkte Masseverbindung der Oszi-
> Quelle zu deiner Schaltung.

Habe die unterhalb des 1 KHz-Ausgangs des Oszis liegende Masseklemme 
ebenfalls an die Platine verkabelt.

Habe momentan leider keine passenden Batterien bzw. Batterienhalter und 
kann daher keine andere Stromversorgung ausprobieren. Muss ich erst bei 
Rei* bestellen.

Ich denke, es wäre sinnvoll eine neue Platine ausschließlich mit dem 
74390 , 100 nf und 100 uF zu bestücken, 4,5 V anzulegen und dann nochmal 
zu schauen.

hp-freund schrieb:
> Ich hab mal eine Simulation des 74390 bei 1MHz angehängt.
> So etwa sollte das Ergebnis aussehen.

Danke für die viele Mühe, die Du Dir gemacht hast! Laut meinem Saleae 
Screenshot sieht es leider gar nicht so aus. Behalte ich aber als 
Vergleichsnormal im Hinterkopf.

Michael U. schrieb:
> Die Eingänge der jeweils nicht genutzten Zähler liegen auf einem festen
> Potenzial (GND oder Ub oder über 10k an Ub o.ä) und hängen nicht einfach
> offen rum?

Die Pins 2CP0 und 2CP1 habe ich an GND gelegt.

Ich denke, es ist sinnvoll, dass ich mir noch ein paar abgeschirmte 
Kabel besorge, damit ich auf die Krokoklemmen verzichten kann.

Muss mich jetzt leider um ein paar andere Dinge kümmern, melde mich 
wieder...

Gruß,
Timo

von Roland L. (Gast)


Lesenswert?

Timo E. schrieb:
> Sind die Flanken ausreichend
> steil?

nein

>Input Rise and Fall Time
>2V . . . . . . . . .1000ns (Max)
>4.5V. . . .  . . . . 500ns (Max)
>6V . . . . . . . . . 400ns (Max)


mach mal was mit einem Schmitt-Trigger davor.

von Wolfgang P. (wopo)


Lesenswert?

Hallo und guten Tag
ich bin seit heute neu im Forum
Ich beschäftige mich auch öfter mit den 74 HC Logikbausteinen
Im ersten Bild von Timo ist schon der Fehler zu sehen.
Der baustein hat 2 Zähler die auch aus 2 Teilern bestehen ( durch 2 und 
durch 5)
die beiden Teiler müssen verbunden werden.
an Pin 1 das Eingangssignal. und Pin 3 mit 4 verbinden , dann sollte er 
durch 10 teilen.
das ganze laut 
Datenblatthttp://www.nxp.com/documents/data_sheet/74HC_HCT390_CNV.pdf
auf Seite 3 und 4 gut zu sehen.
viel Erfolg
Gruß Wolfgang

von karadur (Gast)


Lesenswert?

Hallo wopo

ist nur die halbe Wahrheit.  Der 74390 enthält 2 Dezimalzähler. In 
deiner Schaltung liegen an den Ausgängen BCD codiert die Zählerstände 
an.

Will man symmetrisch durch 10 teilen mit Tastverhältnis 50:50 braucht 
man die Variante wie oben.

von Axel S. (a-za-z0-9)


Lesenswert?

Wolfgang Pompl schrieb:

> Ich beschäftige mich auch öfter mit den 74 HC Logikbausteinen
> Im ersten Bild von Timo ist schon der Fehler zu sehen.
> Der baustein hat 2 Zähler die auch aus 2 Teilern bestehen ( durch 2 und
> durch 5)
> die beiden Teiler müssen verbunden werden.

Wenn du genauer geschaut hättest, dann wäre dir aufgefallen daß genau 
das getan wird. Allerdings nicht in der Standardkonfiguration als 
Dezimalzähler, sondern mit dem 5:1 und 2:1 Teil vertauscht. Das hat den 
Vorteil, daß am Ausgang ein Signal mit Tastverhältnis 1:1 rauskommt.

Timo E. schrieb:
> In diesem Screenshot pic_41_4.gif sieht man das 1 KHz Eingangssignal an
> Pin 4 (F_IN) und das Ausgangssignal an Pin 6 (Qc). Getriggert wurde auf
> das Ausgangssignal, angezeigt werden 250 Hz.

Irgendwie verzählt sich dein Zähler. QC sollte für 2 Takte auf H 
bleiben, nicht nur für einen. Das liegt entweder an dem schlappen (keine 
steile Flanke) Signal. Oder die Betriebsspannung ist nicht sauber.

von Wolfgang P. (wopo)


Lesenswert?

Hallo  karadur

da hast du recht,
das mit 50:50 hab ich übersehen.

Er hat den /5 Teiler benutzt dann kommt der /5 Takt aber aus Pin7 Qd
nicht aus Pin6 Qc. Einfache Änderung Pin7 mit Pin15 verbinden
Es geht auch mit 1 Zählerteil  Pin7 mit Pin1 verbinden ,Ausgang ist dann 
Pin3 Qa.
mal  schaun was draus wird .

von Wolfgang P. (wopo)


Lesenswert?

Hallo Axel

Deine Antwort habe ich noch gar nicht gesehen
deinen Einwand hatte Karadur auch schon.und darauf habe ich schon 
geantwortet
Schönen Abend noch

von Achim S. (Gast)


Lesenswert?

Timo E. schrieb:
> habe jetzt bereits ein paar Dinge überarbeitet:

na, pic_41_4.gif sieht doch schon "fast gut" aus. Es wird regelmäßig 
(zumindest in der gezeigten Messung) nur noch eine Flanke doppelt 
getriggert. Ich tippe mal drauf, dass es die Flanke ist, die zum 
Ansteigen von QC führt. An QC hängt die Osziprobe, die vielleicht noch 
auf x1 eingestellt ist. (der andere Kanal wurde korrekt auf x10 
umgestellt).

Weil der x1 Tastkopf eine kapazitive Belastung von ca. 100pF darstellt 
zieht die steigende Flanke von QC viel Strom von VCC. Weil dein 
Pufferkondensator nicht so ganz perfekt ist (der Strom muss noch einen 
Umweg laufen, ehe er a Pin 8 ankommt), bricht VCC etwas zusammen. Und 
weil sich dadurch die Schaltschwelle nach unten verschiebt und die 
Flanke des Eingangssignals so lahm ist, sieht der Chip zwei Taktflanken 
wo nur eine sein sollte.

Vielleicht wird es schon besser, wenn du auch den zweiten Tastkopf auf 
x10 stellst (reduziert die Lastkapazität um eine Größenordnung) und wenn 
du auf der Platinenrückseite einen 100nF Keramikkondensator direkt 
zwischen Pin 8 und Pin 16 lötest (anstelle des Folienkondensators 
zwischen Pin 1 und Pin 16). Wirklich zuverlässig wird es aber nur 
funktionieren, wenn die Flanke des Eingangssignals innerhalb der von 
Roland gezeigten Grenzen bleibt.

von Axel S. (a-za-z0-9)


Lesenswert?

Wolfgang P. schrieb:
> Er hat den /5 Teiler benutzt dann kommt der /5 Takt aber aus Pin7 Qd
> nicht aus Pin6 Qc.

Es kommt sowohl aus QC als auch aus QD ein durch 5 geteiltes Signal 
raus. Aber an QC hat es ein Tastverhältnis von 2:3, an QD von 1:4.
Wenn man die Wahl hat, nimmt man lieber das Signal, das näher an einem 
symmetrischen Rechteck ist. Also das an QC.

von Asko B. (dg2brs)


Lesenswert?

hmmm

Bin ich blind (bin ich fast) oder ueberseh ich was ?
auf dem PIC_41_4 sehe ich nur 4 negative Flanken
und keine 5.
der Teilt also nicht durch 5 sondern durch 4.
Das bisschen "gekrissel" auf den pegeln halte ich
persoenlich mal fuer unwesentlich. (in diesem Taktbereich)

Ist wirklich Q-D mit A verbunden ?
Also Pin7 mit Pin1 und/oder Pin9 mit Pin15 ?

Gruss Asko.

von Asko B. (dg2brs)


Lesenswert?

sri

ich sehe gerade beim nochmaligen anschauen, bei der
fuenften Negativen Flanke wird am Ausgang die naechste
negative Flanke ausgegeben...also doch durch 5.

Gruss Asko.

von Asko B. (dg2brs)


Angehängte Dateien:

Lesenswert?

Gruss Asko.

von hp-freund (Gast)


Angehängte Dateien:

Lesenswert?

Hier noch mal der komplette Teiler simuliert.

von Achim S. (Gast)


Lesenswert?

Asko B. schrieb:
> ch sehe gerade beim nochmaligen anschauen, bei der
> fuenften Negativen Flanke wird am Ausgang die naechste
> negative Flanke ausgegeben...also doch durch 5.

Beim ersten Hinschauen hast du genauer gezählt ;-)

Es wird tatsächlich durch 4 geteilt (deshalb zeigt das Oszi auch 250Hz 
als Triggerfreuqenz an, das Taktsignal hat 1kHz). Genau das ist das 
verbleibende Problem von Timo: eine der Flanken wird doppelt getriggert.

von eProfi (Gast)


Lesenswert?

Wenn was doppelt gezählt wird, ist meist Ringing im Spiel.
Abhilfe: serielle Rs an den Clock-Eingängen und an den Oszi-Probes.
Saubere Vcc, kurze Gnd-Verbindungen.
Folien-Cs sind da evtl. kontraproduktiv, da sie mit den 
Leitungs-Induktivitäten Schwingkreise mit hoher Güte ergeben. Lieber 
Keramik-Cs mit hohem Verlustfaktor verwenden, da wird die Energie nicht 
in Ringing, sondern in Wärme umgesetzt ;-)

Ich traue mich wetten, wenn die Oszi-Probes nicht angeschlossen sind, 
teilt er durch 10. Nur sieht man es dann nicht.

von Timo E. (tetra)


Lesenswert?

Hallo Leute,

Vielen Dank nochmal für die vielen Verbesserungsvorschläge und 
Anregeungen, um mein Problem zu lösen.

Entsprechend euerer Tipps habe ich nun den Vorteiler zum Laufen 
gebracht:

Achim S. schrieb:
> Wirklich zuverlässig wird es aber nur
> funktionieren, wenn die Flanke des Eingangssignals innerhalb der von
> Roland gezeigten Grenzen bleibt

Damit die Flankensteilheit gewährleistet wird, habe ich einen 
Schmitt-Trigger vor das Eingangssignal geschaltet (74HCT137N). Außerdem 
habe ich alle Probes auf 10:1 geschaltet.

Tatsächlich kommt nun am Ausgang F_OUT (Pin 13) beim Anlegen von 1 KHZ 
als F_IN 100 HZ an F_OUT heraus.

Problem gelöst.

Danke und Viele Grüße,
Timo

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.