Lothar Miller schrieb:
> Fragender schrieb:
>> Diese Zeile gibt einen Fehler aus:
> Welchen?
>
>> Ich setze zwei 8bit Vectoren zusammen
> Welche?
> Wenigstens die Deklaration der verwendeten Signale sind zur
> Fehleranalyse nötig...
Hallo Lothar,
Danke für die Rückmeldung und sorry das du mir die Infos aus der Nase
ziehen musst (ich weiß wie nervig das ist). Ich versuche mal alle
wichtigen Infos aufzulisten:
Signale/Ports/Typen...:
Konstanten:
1 | constant seq_ram_address_width_c : INTEGER := 11;
|
1 | constant RxUdpDataWidth : INTEGER := 128;
|
Typen:
1 | type ByteArrayType is array (natural range <>) of std_logic_vector(7 downto 0);
|
1 | subtype RxUdpDataType is ByteArrayType(RxUdpDataWidth-1 downto 0);
|
Ports:
1 | SEQ_RAM_ADDRA : OUT STD_LOGIC_VECTOR(seq_ram_address_width_c-1 DOWNTO 0);
|
Signale:
1 | signal RxUdpData: RxUdpDataType := (others =>(others => '0'));
|
--> Diese Zeile ergibt dann den Fehler:
1 | SEQ_RAM_ADDRA <= (RxUdpData(RxUdpDataSeqRamAddrLocation) & RxUdpData(RxUdpDataSeqRamAddrLocation-1))(SEQ_RAM_ADDRA'length-1 downto 0);
|
Fehlermeldung:
Line 518. parse error, unexpected OPENPAR, expecting SEMICOLON