Forum: FPGA, VHDL & Co. Modelsim ASSERT Fehler farbig in der Console ausgeben


von Valko Z. (hydravliska)


Lesenswert?

Servus

in einem Testbench habe ich ASSERT Statements die halt unterschiedliche 
Meldungen ausgeben. Leider kommen während die Simulation auch 
andere/fremde Erreignisse in der Modelsim Console. Deswegen dachte ich 
dass ich meine Ausgaben, wenn es geht, mit andere Farbe versehe. Geht 
sowas? Aktuell nutze ich Modelsim DE 10.3.

Danke im Voraus!

von user (Gast)


Lesenswert?

Also wenn du Modelsim in der Console ausführst also mit vsim -c dann 
kannst du die unix Commandos zum setzen der Consolenfarbe verwenden.

eine andere Möglichkeit ist einige Fehlermeldungen abzuschalten
  set NumericStdNoWarnings 1;
  set StdArithNoWarnings 1;

von user (Gast)


Lesenswert?

Wenn die anderen Fehler zB. warning sind, kannst du deine Fehler als zB 
failure ausgeben und angeben das du nur ab failure angezeigt bekommen 
möchtest

von Duke Scarring (Gast)


Lesenswert?

Ich hatte mal versucht die Farbe über Escape-Sequenzen zu setzen, aber 
ich glabe das war nicht von Erfolg gekrönt...

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Duke Scarring schrieb:
> Ich hatte mal versucht die Farbe über Escape-Sequenzen zu setzen, aber
> ich glabe das war nicht von Erfolg gekrönt...
Vermutlich hättest du da vorher (irgendwie) den ansi.sys laden müssen 
;-)

von Valko Z. (hydravliska)


Lesenswert?

Danke für die Tipps. Werde ich ausprobieren :)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ähm, das mit dem ansi.sys war nur ein Scherz. Das letzte Mal, wo ich mit 
dem gearbeitet habe, war unter DOS...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.