Forum: FPGA, VHDL & Co. savant, open source VHDL


von dima (Gast)


Lesenswert?

Hallo,

nutzt jemand vhdl simulator savant?
ihre seite ist ziemlich alt, letzte beiträge datieren von 2002
was gibt es alles an vhdl simulatoren für linux zur auswahl?

von Mighty (Gast)


Lesenswert?

ghdl baut dir ein ausfühbares Programm welches du mit
einer stimuli Datei füttern kannst. Ist eigentlich nur
ein front-end für gcc ;)

http://ghdl.free.fr/

von dima (Gast)


Lesenswert?

danke, habe mir heute installiert
ist wirklich cooles program
beherrscht verschiedene VHDL standards

kennt jemand vcd viewer?
gtkwave motzt leider beim start

von Mighty (Gast)


Lesenswert?

Ja ich musste auch auf eine ältere version von
gtkwave zurückgreifen. Der GHDL Autor hat immer eine kopie der
letzten mit GHDL funktionierenden Version auf seiner Seite.
Ausserdem macht gtkwave nix wenn du keine vcd Datei angibst,
also startet nicht.

von T.M. (Gast)


Lesenswert?

Bei mir funzt Version v3.0.4 von GTKWave einwandfrei in Kombination mit
den von GHDL ausgespuckten *.ghw Files. Die sind für VHDL-Waveforms
wohl besser, weil sie die Datentypen von VHDL besser unterstützen. Ich
benutze aber noch die GHDL-Version 0.23...

von dima (Gast)


Lesenswert?

hab noch folgenden link gefunden
http://www-asim.lip6.fr/recherche/alliance/
klingt für mich zumindest sehr interessant,
werde es ausprobieren
Hat jemand Erfahrungen damit?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.