Forum: FPGA, VHDL & Co. VHDL Syntax highlight im mikrocontroller wiki?


von FPGAküchle (Gast)


Lesenswert?

Ich packe kein Einfärben von VHDL code im mikrocontroller-wiki, z.B.
http://www.mikrocontroller.net/articles/VHDL

Hier klappt
1
 signal a: boolean:= true;
2
 if a = '1' then
3
  b <= '0';
4
 else
5
  b <= '1';
6
 end if;

also des mit VHDL in [] zum Beginn und /VHDL in [] zum Schluss. Aber
nicht im wiki!?
auch mit <> wills net funzen. kann der Wiki admin was drehen oder
schulen?

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Hab was gedreht, siehe VHDL.

von FPGAküchle (Gast)


Lesenswert?

Ju, Dankeschön!

also im wiki mit <> (wie folgend:)

<vhdl>
 process(clk)
 begin
 if clk = '1' and clk'event then
 --snip
 end if;
 end process;
</vhdl>

und im Forum in [], wie hier:
1
 process(clk)
2
 begin
3
 if clk = '1' and clk'event then
4
 --snip
5
 end if;
6
 end process;


.. mal schauen wie's im Forum aussieht...

von high_speed (Gast)


Angehängte Dateien:

Lesenswert?

Hallo Andreas

Kann  man nicht noch etwas an der Farbgebung ändern?
Das grau von den Kommentaren kann man nicht gut lesen, lieber etwas
auffälligeres.
Im Anhang mal mein VHDL highlighter für ConTEXT, der von den
Standarteinstellungen in Quartus II  abgeleitet ist.

Schlüsselwörter: blau Fett
Standard-Signaltypen: schwarz Fett (wird in Quartus II nicht
hervorgehoben)
Zahlen: rot
String: rot
Kommentar: grün

http://www.context.cx

MfG
Holger

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.