Forum: FPGA, VHDL & Co. Modelsim/Generics


von Achim (Gast)


Lesenswert?

Hi Leute,

habe zwar lange nicht mit VHDL und Gernerics gearbeitet, denke jedoch 
das ich alles richtig gemacht habe. Modelsim compiliert alles , gibt 
jedoch folgenden Fehler beim Laden der Simulation aus:

Fatal: (vsim-3350) Generic 'data_width' has not been given a value.

Zu meinem Code folgendes, ich binde eine Komponente in eine Testbench 
ein. Die Entität befindet sich in einer extra Datei, und zwar so:
1
entity XXX is
2
  generic (
3
    data_width     :     integer;
4
    addr_width     :     integer);
5
  port(
6
    usw.

Die Komponente dann in die Testbench,
1
  component XXX is
2
    generic (
3
      data_width : integer;
4
      addr_width : integer);
5
    port (
6
     usw.

und die Instanziierung:
1
  i_XXX : XXX
2
    generic map (
3
      addr_width => 16,
4
      data_width => 16)
5
    port map (
6
     usw.

Ich weiß leider nicht wo das Problem liegt. Gebe ich der Entität 
Defaultwerte, tritt dieser Fehlr nicht auf, jedoch werden diese 
Defaultwerte auch nicht von den Parametern der Instanziierung 
überschrieben (wie es dann eig. sein sollte). Defaultwerte in der 
Deklaration geben auch die genannte Fehlermeldung. Die ISE generiert das 
Bitfile ohne Probleme, nur Modelsim hat ein Problem mit der Simulation. 
Muss ich etwa ein Post-X-Simulationmodell generieren um das ganze 
simulieren zu können?

Grüße Achim

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.