Forum: FPGA, VHDL & Co. "use_dsp48"-Warnung bei XC3S50


von Johnsn (Gast)


Lesenswert?

Hallo!

Kenn jemand eine Einstellungsmöglichkeit von ISE9 (9.1i), mit der man 
folgende Warnung deaktivieren kann:

WARNING:Xst:0: - Property "use_dsp48" is not applicable for this 
technology.

Die Warnung an sich stört mich zwar nicht grundsätzlich, aber ich hab 
schon mal desöfteren versucht, die Warnung irgendwie zu deaktivieren.

Gruß,
Johnsn

von Stefan H. (stefanhanke)


Lesenswert?

Ach gerade ist wieder mal die 9.1i abgeraucht, herrliches Stück Software 
;-)

Stichwort "Message Filter".
Du gehst dazu in die Design Summary (Process View -> View Design 
Summary). Dort wählst du den betr. Prozess, Rechtsklick auf die Meldung 
und dann "Edit Message Filters". Das führt dich zu einem Dialog, bei dem 
du dann entweder genau diese, oder alle Warnungen mit dieser Nummer 
ignorieren kannst.

 -- stefan

von Johnsn (Gast)


Lesenswert?

Die Funktion kenne ich, nur das Problem ist, dass die Nummer 
"WARNING:Xst:0" nicht als richtige Nummer erkannt wird und dann die 
Meldung erscheint:

"This message has an invalid or missing message number. The request 
action cannot be performed."

Andere Vorschläge?

Gruß,
Johnsn

von Stefan H. (stefanhanke)


Lesenswert?

Probiers mal mit nem Custom-Filter.

Es gibt einige Warnungen, die sich mit Hilfe von Umgebungsvariablen 
deaktivieren lassen. Auf die Schnelle hab ich dafür aber nichts 
gefunden.

 -- stefan

von T.M. (Gast)


Lesenswert?

>Ach gerade ist wieder mal die 9.1i abgeraucht, herrliches Stück Software
>;-)

Probier mal mit make und den Kommandotools, da bleibt dir die unsägliche 
GUI erspart ;-)

von Johnsn (Gast)


Lesenswert?

Custom Filter werden leider auch nur direkt mit gültigen Warning-Numbers 
verknüpft.

von Stefan H. (stefanhanke)


Lesenswert?

Nächster Versuch:
Aus der XST Users Guide 8.1i (p. 420 ff)
1
XST Command Line
2
Define globally with the –use_dsp48 command line option of the run command.
3
Following is the basic syntax:
4
use_dsp48 {auto|yes|no}
5
The default is auto.
6
7
Project Navigator
8
Set globally with the Use DSP48 option in the HDL Options tab of the Process Properties
9
dialog box within the Project Navigator.
10
With a design selected in the Sources window, right-click Synthesize in the Processes
11
window to access the appropriate Process Properties dialog box.

von Stefan H. (stefanhanke)


Lesenswert?

T.M. wrote:
> Probier mal mit make und den Kommandotools, da bleibt dir die unsägliche
> GUI erspart ;-)

Ich habe halt gerne ein bissi Klicki-Bunti um mich ;-)

 -- stefan

von Johnsn (Gast)


Lesenswert?

Den nächsten Versuch habe ich auch schon längst probiert. Das Problem 
dabei ist, dass diese Option nur dann zur Verfügung steht, wenn auch das 
"Target-Device" über die dsp48-Blöcke verfügt, was beim Spartan3 nicht 
der Fall ist.

Mit der Kommadozeile hab ich es jetzt nicht versucht, weil ich generell 
nicht damit arbeiten möchte.

von Stefan H. (stefanhanke)


Lesenswert?

In den Synthese-Optionen gibt es ganz unten eine Eingabezeile "Other XST 
Command Line Options".
 -- stefan

von Johnsn (Gast)


Lesenswert?

Ich hab jetzt mal folgendes eingetragen:

-use_dsp48 NO

aber frißt er auch nicht (Xst:1363 - Option "-use_dsp48" is not 
available for command run.).

Ist an der Synthax eventuell was falsch?

Gruß,
Johnsn

von Stefan H. (stefanhanke)


Lesenswert?

Ich sehe hier die gleiche Fehlermeldung.
Dummerweise ist der Virtex4 beim WebPack nicht anwählbar...

Tja, das ist halt ISE Live... :)
 -- stefan

von Johnsn (Gast)


Lesenswert?

Naja dann muss ich damit leben, aber es wird nicht gleich die Welt 
zusammenbrechen.

Danke für deine Unterstützung.

von Andrew Herson (Gast)


Lesenswert?

Can you please give a breif translation of the above into English.  I, 
too, and getting this warning and it is very frustrating.  Is the gist 
of it that "Enable Message Filterring" removes the warning?  If so, then 
the warnging must ne be very important.  Thoughts?  Andrew

von Stefan Hanke (Gast)


Lesenswert?

The gist is, that you have to live with this warning. None of our 
attempts to filter the warning or instruct the synthesis step not to use 
dsp48s succeeded. But the warning is not important anyway, it just 
states a basic fact. This is just another point where the software may 
be improved.
 -- stefan

von Michael N. (bigmike47)


Lesenswert?

stellt halt mal bei den XST Properties use-dsp48 auf no oder setzt das 
attribute im VHDL code dementsprechend.

und überhaupt, wen interessiert so eine warning? wenn man mal ein 
wirklich großes design hat, dann hat man locker 100 solche. dann braucht 
man vielleicht einen message-filter.

von Johnsn (Gast)


Lesenswert?

@Michael Niegl:

Das wurde alles schon besprochen und ist zwecklos (siehe oben)!

Bei großen Designs sind wahrscheinlich (hoffentlich) 80% davon solche 
sinnlosen Warnings. Trotzdem muss man sich den Warnings widmen, speziell 
nach einer größeren Änderung im Design. Und da stört es halt dann schon, 
wenn 20 Mal der Mist mit den use_dsp48 Warnings steht. Genau dasselbe 
ist mit Ausgangs-Ports, die man mit "open" absichtlich offen lassen 
will. Xilinx ISE wirft trotzdem ein sinnloses Warning, obwohl der 
Entwickler den Port ausdrücklich offen lassen will. Wenn der 
Warning-Level bei Entwicklungstools intelligent durchdacht ist, dann 
schafft man es sicher ein großes Design auch nur mit 5-10 
(überschaubaren) Warnings zu haben!

Also ich schätze mal, dass demnächst eine Mail an Xilinx fällig wird...

von T.M. (Gast)


Lesenswert?

Dann kannst du aber auch an alle anderen FPGA- und 
Synthesetool-Hersteller die gleiche Email forwarden, weil es dort 
genauso ist. Ich arbeite hier mit Actel, und da darfst ich mich auch 
durch Unmengen Warnings durchkämpfen (lass es mittlerweile sein). Da 
wird zB angemeckert, dass Ports von BRAMS offen sind, weil mein mit dem 
Smartgen generierter Core nunmal 34 Bits braucht. Bei der Synthese mit 
Synplify siehts noch krasser aus.

von Johnsn (Gast)


Lesenswert?

Ja wahrscheinlich ist das so. Mit Actel hatte ich bisher noch nicht das 
Vergnügen, aber bei Alteras Quartus habe ich mich noch nie über sinnlose 
Warnings wundern müssen. Precision ist da glaub' ich auch besser, wenn 
ich mich recht erinnere.

Wie sind die Chips von Actel denn sonst so?

von T.M. (Gast)


Lesenswert?

Durch den Flash nicht gerade schnell. Wir haben zu tun, dass wir einen 
PCI/PCCard-Core spezifikationskonform zum Laufen bekommen. Ansonsten 
bringt der Flash den Vorteil, dass man halt nur einmal flashen muss und 
das wars. Kein Platformflash oder jedesmal neu Programmieren nach 
PowerUp.

Aber ein weiterer Nachteil ist, dass er ausser den Logikzellen mit 3 
Inputs und RAMs/Fifos keine dezidierten Blöcke besitzt, auch keine 
CarryChain. Was das für Zähler, Addierer, Multiplizierer und dergleichen 
bedeutet, kann man sich an 5 Fingern abzählen :-/ Da ist dann wieder 
Handarbeit angesagt, oder man erzeugt solche Komponenten mit dem 
SmartGen-Coregenerator.

von Johnsn (Gast)


Lesenswert?

Klingt also nicht vielversprechend. Logikzellen mit 3 Eingängen sind ja 
auch ziemlich ungewöhnlich, normalerweise sinds 4, weil ja auch die LUTs 
am flexibelsten mit 4 Eingängen sind, oder?

gruß,
J

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.