Forum: FPGA, VHDL & Co. Problem mit ISE 9.2i + Spartan-3AN StarterKit


von Neuling (Gast)


Lesenswert?

Guten Tag!

Ich habe mir ein StarterKit mit einem Spartan-3AN besorgt.
Erstes Problem war, dass ich mit mitgeliefertem Software (9.1i) den 
Spartan-3AN nicht über USB programmieren konnte.
Es ist zwar gelaufen... FPGA wurde programmiert... aber es kam ein 
Fehler, dass "DONE" nicht hoch ging.
Habe bei Xilinx FAQ gefunden dass es unter 9.2i funktioniert.
Also... ich habe WebPack 9.2i runtergeladen und installiert.
Und es hat funktioniert!!!

ABER...

Wenn ich iMPACT starte.... wähle ein .BIT-Datei und schiebe ihn ins 
FPGA... ist erstmal alles in Ordnung.
Habe in Quellcode änderungen gemacht... neu kompiliert.... iMPACT sagt 
mir dass diese .BIN-datei geändert wurde.. alles OK!
FPGA wird neu konfiguriert und.... es ist wieder alte version von .BIN 
datei drin. KEINE ÄNDERUNGEN :(
Wenn ich iMPACT komplett schliesse und neu starte.... dann läufts..
Habe schon auf anderem Rechner ausprobiert... dasselbe....

Vielleicht kann mir jemand helfen??????

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Hast du schon die beiden Service Packs installiert (WebUpdate)?

von Neuling (Gast)


Lesenswert?

Ja... Beide ServicePacks (9.2.02i und ip_update_1) habe ich installiert.
Es hat sich nichts geändert.

p.S. habe inter XP-Pro_SP2 und XP-Home_SP2 ausprobiert!

von Neuling (Gast)


Lesenswert?

Also...

wenn ich im Menü "Program Flash and load FPGA" wähle... dann ist alles 
in Ordnung. Also wird neue konfiguration geladen.
und wenn ich DIREKT "Program FPGA only" mache, dann ist wieder alte 
konfiguration drin...
Ich weiss nicht was ich tun soll....
So muss ich immer wieder iMPACT neu starten :(
Ich habe noch ein selbstgebautes Board mit einem XC2S100 drauf und ein 
selbstgebaute JTAG-download Kabel... Noch NIE PROBLEME GEHABT.....


hat jemand auch einen Spartan-3AN StarterKit?????
Wie ist es bei euch?

von Annonym (Gast)


Lesenswert?

Habe auch das 3AN StarterKit mit (noch) 9.1i und kann auch nicht direkt 
über JTag konfigurieren. Bin nach über zehn Stunden probieren halb 
wahnsinnig geworden. Schöne "Out of the Box"-Garantie...

Dafür habe ich es dann aber geschafft eine .bit in eine PROM umzuwandeln 
und in den Platform Flash zu laden.

Währe auch sehr erfreut über Lösung.

von Klaus F. (Gast)


Lesenswert?

Hallo,

habe auch ein Spartan 3AN Starterkit gekauft und das gleiche Problem, 
allerdings mit den aktuellsten Versionen von ISE/IMPACT (10.1). Habt ihr 
etwas herausgefunden? Wenn ich IMPACT immer schließe und dann ein neues 
Projekt erstelle und das FPGA direkt programmiere funktioniert es. Nervt 
aber ziemlich :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.