Forum: Projekte & Code Amiga-Minimig läuft auf DE2 Board


von TobiFlex (Gast)


Angehängte Dateien:

Lesenswert?

Zur Zeit bin ich dabei den Minimig Core von Dennis van Weeren:
http://home.hetnet.nl/~weeren001/
auf das DE2 anzupassen. Wer bei der Validierung helfen möchte kann sich
bei mir melden.
Auf jeden Fall wird nachfolgende Hardwareänderung benötigt um Maus und
Tastatur gleichzeitig zu nutzen.

Viele Grüße
TobiFlex

von TobiFlex (Gast)


Angehängte Dateien:

Lesenswert?

Um PS/2 Maus und Tastatur gleichzeitig benutzen zu können braucht man 
ein PS/2 Y-Kabel und muß das Board mit 2 1KOhm Widerständen und zwei 
Drähten ergänzen. Siehe Fotos.

von TobiFlex (Gast)


Angehängte Dateien:

Lesenswert?

Und nochmal eine andere Blickrichtung.

von Claude (Gast)


Lesenswert?

Genial! Hättest Du auch noch einen Quartus Snapshot? Hab auf meinem DE2 
den SDRAM und SRAM erweitert und müsste daher das Pinning anpassen.

Gruß
Claude

von Claude (Gast)


Lesenswert?

Was mir zuerst garnicht aufgefallen ist: Ich hab ja ein DE1 daheim :-) 
Trotzdem wäre ich noch an dem Snapshot interessiert weil ich in der 
Arbeit ein DE2 habe und mir das über Wochenende "ausleihen" kann.

Hast Du einen 68000er Softcore und einen PIC Softcore für den SD Loader 
integriert? Nutzt Du den SDRAM oder den SRAM für den 68000er? 
Respektable Arbeit, Hut ab !

von TobiFlex (Gast)


Lesenswert?

Die Zeit ist noch nicht ran um den Quellcode zu veröffentlichen. Aber 
sie wird kommen...
Das SRAM wird beim DE2 von diesem Core nicht genutzt. Und beim SDRAM 
wirst du vermute ich eine Adressleitung mehr haben. Das könnte ich dir 
am WE anpassen wenn du mir den Pin mitteilst.

Viele Grüße
TobiFlex

von TobiFlex (Gast)


Lesenswert?

"Hast Du einen 68000er Softcore und einen PIC Softcore für den SD Loader
integriert?"
Den 68K ja - den PIC nicht. Für den Spihost nutze ich den guten alten 
Z80. Das hat den Vorteil, daß den SDCC zum Compilieren nutzen kann.

von Claude (Gast)


Lesenswert?

Danke erstmal, das DE2 hat noch nicht unter meinem Lötkolben gelitten. 
So kann ich erst mal mit den von dir bereitgestellten POFs rumspielen. 
In wie weit ist den der EP2C35 ausgelastet ? Siehst du Chancen das 
Design auf einen EP2C20 (DE1) zu fitten?

Gruß
der auf die Sourcen gespannte Claude

von vectrex (Gast)


Lesenswert?

hallo ! wir haben in der firma auch ein DE2 board und ich wuerde das 
gern mal probieren ;)  .... dennis hat auf seiner homepage das 
minimig_DE2_build_16_10_2007.zip genuegen die .pof und .sof dateien 
damit ich das läuft oder was benötige ich sonst noch (ausser dem 
Maus/Keyb mod)

danke

von Tobias G. (tobiflex)


Lesenswert?

Die Bitstreamfiles (.sof/.pof) konfigurieren nur die Hardware und 
enthalten den Bootloader. Der Bootloader versucht eine Datei "kick.rom" 
aus dem Wurzelverzeichnis der SD-Karte zu laden. Die Datei "kick.rom" 
muß 512KB groß sein. Genau richtig für Kickstart 2.04. Kleinere ROMs 
müssen in dem File 2x hintereinander gespeichert sein um die geforderte 
Dateigröße zu erreichen.
Die Floppy-Emu arbeitet mit .ADF Files und wird mit den 4 Tastern 
gesteuert.
Die ROM- und verschiedene ADF-Files müssen durch den Anwender selber 
hinzugefügt werden.
SW[17] schaltet die Monitorausgabe zwischen 15KHz und 31KHz 
Zeilenfrequenz um. Bildwechselfrequenz ist 50Hz. Deshalb haben 
verschiedene Monitore Sync-Probleme. Da hilft nur Suchen und 
Ausprobieren.
SW[16..4] ungenutzt,
SW[3..0] müssen ON sein,
SW[0] ist der RESET-Schalter.

Viele Grüße
TobiFlex

PS:
Das ist die erste Version für das DE2-Board. Es gibt Probleme mit der 
Floppyemulation, die verstärkt unter KS>=2.04 auftreten. Manche .ADFs 
laufen ohne Probleme durch andere haken an immer verschiedenen Stellen.
An dieser Stelle bin ich fast am verzweifeln und habe den Bug noch nicht 
gefunden :-(

Für das DE1-Board gibt es auch schon eine lauffähige Version - leider 
mit den gleichen Problemen - bei Interesse bitte melden.

von Claude (Gast)


Lesenswert?

DE1 ? Hallo Hier :-)!!! Steht dein Angebot noch mir den einen Adress-Pin 
des SDRAMs anzupassen? Den Pin hab ich von den 7 Segment Anzeigen 
geklaut,sollten die 7 Segment Anzeigen Pins bereits auf GND liegen würde 
ja alles ohne Änderung bei mir laufen?!

Hier meine SDRAM Assignments :
1
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
2
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
3
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
4
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
5
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
6
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
7
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
8
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
9
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
10
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
11
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
12
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
13
set_location_assignment PIN_J2 -to DRAM_ADDR[12]
14
set_location_assignment PIN_U3 -to DRAM_BA_0
15
set_location_assignment PIN_V4 -to DRAM_BA_1
16
set_location_assignment PIN_T3 -to DRAM_CAS_N
17
set_location_assignment PIN_N3 -to DRAM_CKE
18
set_location_assignment PIN_U4 -to DRAM_CLK
19
set_location_assignment PIN_T6 -to DRAM_CS_N
20
set_location_assignment PIN_U1 -to DRAM_DQ[0]
21
set_location_assignment PIN_U2 -to DRAM_DQ[1]
22
set_location_assignment PIN_V1 -to DRAM_DQ[2]
23
set_location_assignment PIN_V2 -to DRAM_DQ[3]
24
set_location_assignment PIN_W1 -to DRAM_DQ[4]
25
set_location_assignment PIN_W2 -to DRAM_DQ[5]
26
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
27
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
28
set_location_assignment PIN_N1 -to DRAM_DQ[8]
29
set_location_assignment PIN_N2 -to DRAM_DQ[9]
30
set_location_assignment PIN_P1 -to DRAM_DQ[10]
31
set_location_assignment PIN_P2 -to DRAM_DQ[11]
32
set_location_assignment PIN_R1 -to DRAM_DQ[12]
33
set_location_assignment PIN_R2 -to DRAM_DQ[13]
34
set_location_assignment PIN_T1 -to DRAM_DQ[14]
35
set_location_assignment PIN_T2 -to DRAM_DQ[15]
36
set_location_assignment PIN_R7 -to DRAM_LDQM
37
set_location_assignment PIN_T5 -to DRAM_RAS_N
38
set_location_assignment PIN_M5 -to DRAM_UDQM
39
set_location_assignment PIN_R8 -to DRAM_WE_N

Gruß
Claude

PS: email claude punkt schwarz ät gmail punkt com

von vectrex (Gast)


Lesenswert?

ich haette auch gerne die DE1 ver .... vectrex AT merlin . at

danke vorab ;)

von Tobias G. (tobiflex)


Lesenswert?

Wie ist es denn mit dem DE2 gelaufen?
Viele Grüße

von vectrex (Gast)


Lesenswert?

noch gar nicht ... weil ich noch keine zeit fuer hatte ;(

ein de1 hab ich auch hier ausgegraben ;)

von vectrex (Gast)


Lesenswert?

in einer woche kommt ja das DE2-70 auf den markt .... 599 us$

wer hat sich mit dem board schon auseinandergesetzt (theoretisch)
???

von Claude (Gast)


Lesenswert?

Hi Tobias,
auf meinem DE1 läuft es nicht so gut. Hab die Files auf eine 128MB , FAT 
Formatierte, Sandisk SD kopiert. Da ich meinen eigenen Amiga gerade 
nicht zu Hand hatte ( :-)) bediente ich mich bei Google und hab ein 
1.3er und 2.0??er Kickstart ROM runtergeladen. Die ROMs sind UAE 
kompatibel. Hab auch versucht , wie in deiner liesmich.txt beschrieben, 
das WAV File abzuspielen. Geht leider auch nicht.

Kurze Zusammenfassung :

Monitor Sync auf 50Hz und 31kHz
LEDs und SW[0..3] wie in liesmich.txt beschrieben
Debug :
1
OK
2
start init
3
init done
4
SD-CARD .   
5
STUNTCAR.ADF
6
SPIHOST .ROM
7
KICK    .ROM
8
OK
Danach gar nix mehr...
LEDR 2,3 und 6 Flimmern leicht , rest ist an
LEDG 0,1,3 und 7 sind an , rest ist aus

Gruß
Claude

von Tobias G. (tobiflex)


Lesenswert?

Hi Claude,
Schade - aber das kriegen wir hin!
Der Minimig lädt nur Files wenn das Dateisystem der Karte vom filetype 
0x04 und 0x06 ist. Gelegentlich ist auf SD-Karten die Kennung 0x0E 
drauf. Aber die sollte trotzdem mit dem WAV-Player gehen. Eine Datei 
"TEST.WAV" hast du ja bestimmt drauf kopiert?
Als erstes bitte ich dich eine andere SD-Karte zu nehmen und als zweites 
die erste Karte mit FAT16 neu zu formatieren.
Bitte berichte dann.

Viele Grüße
TobiFlex

von Claude (Gast)


Lesenswert?

Hab leider gerde nur diese SD zu Hand. Aber das WAV wird jetzt 
abgespielt. Hatte das File nicht in TEST.WAV umbenannt , aua. Morgen hab 
ich wieder etwas Zeit, da werd ich den rest mal versuchen. Was für eine 
2GB SD hast du? Brauche sowieso eine, dann würde ich die gleiche kaufen.

von Tobias G. (tobiflex)


Lesenswert?

Bei mir laufen "EMTEC", "Lexar", "OnMemory" und auch noNome - aber alle 
>=512MB.

von vectrex (Gast)


Lesenswert?

so will dieses wochenende endlich mein DE1 probieren ;) ... ist auch am 
DE1 eine modifikation noetig um maus/keyb benutzen zu können ?

von TobiFlex (Gast)


Angehängte Dateien:

Lesenswert?

Ja, es müssen auch zwei Drähte und zwei 1KOhm Widerstände auf das Board 
gelötet werden.

von TobiFlex (Gast)


Angehängte Dateien:

Lesenswert?

...und noch eine Ansicht...

von Claude (Gast)


Angehängte Dateien:

Lesenswert?

Endlich bin ich dazu gekommen eine neue SD (2 GB MicroSD von Kingston) 
zu kaufen. Und siehe da! Er läuft !!!!

von vectrex (Gast)


Lesenswert?

ich hab ein problem mit meinem de1 anscheinend .... ich lade die pof und 
sof datei in den programmer aber er programmiert nicht

von vectrex (Gast)


Lesenswert?

im POF file fuers DE1 hab ich als device EPCS4

mein board hat EP2C15/20

...

help ;)

von Claude (Gast)


Lesenswert?

Aber die SOF sollte doch gehen?

von Claude (Gast)


Lesenswert?

Äh könnte es sein das der EP2C20 der Cyclone ist , und der EPCS4 das 
Config EEPROM ? :-) Das SOF kannst Du in den Cyclone mit JTAG laden , 
das POF programmierst Du über "Active Serial" ins Config EEPROM ( 
Schalter am Board umlegen , steht auch in der Doku von Terasic). Wenn Du 
das SOF lädst verliert das FPGA nach einem Power Cycle seinen Inhalt. 
Mit dem SOF im EEPROM lädt sich das FPGA nach dem Power Cycle selbst aus 
dem EEPROM.

von Claude (Gast)


Lesenswert?

Hi Tobias,
hab jetzt mal deine neue Version getestet. Was mir auffällt ist das der 
Reset aus dem Loader Menü jetzt funktioniert und das Board schneller 
Bootet. Aber leider funktionieren meine Spiele nicht mehr so gut wie mit 
der alten Version. Hab es mit Stuntcar Racer,Turrican 2+3 und North and 
South probiert.
Mit der alten Version lief eigentlich nur Stuntcar Racer einwandfrei, 
North and South bis man den "GO" Kasten klickt und das Intro von 
Turrican 2. Mit der neuen hängt sich Stuntcar Racer auf , N&S 
unverändert,
Turrican 2 unverändert und Turrican 3 bleibt im Intro hängen.

Gruß
Claude

PS: Sollen solche "Bug Reports" eher ins Forum oder an deine Email?

von vectrex (Gast)


Lesenswert?

ah fein fein .... danke fuer die hilfe .... neuling hier ;)

den ami bootscreen bekomm ich nicht ;( das diskmenue kommt

von Claude (Gast)


Lesenswert?

Versuch mal eine andere SD Karte. Habs mit einer 128MB und 512MB 
versucht und erst eine 2GB lief dann.

von TobiFlex (Gast)


Lesenswert?

@vectrax
"ah fein fein .... danke fuer die hilfe .... neuling hier ;)

den ami bootscreen bekomm ich nicht ;( das diskmenue kommt"

Welches Diskmenue meinst du? Das gleich am Start oder das nach dem das 
Kickstartrom geladen wurde? Welche Ausgaben kommen über die RS232 
Schnittstelle? Sind alle Schalter nach oben geschoben?

@Claude
"Sollen solche "Bug Reports" eher ins Forum oder an deine Email?"
Von mir aus kann das ruhig hier rein. Dann wissen die anderen auch 
gleich Bescheid.
An dem Core kann ich nur Bugs fixen die mit dem Prozessor zusammen 
hängen. Mit dem Minimigcore habe ich mich nur aus der 
Schnittstellensicht beschäftigt. Inwieweit da noch Bugs drin sind weiß 
ich auch nicht. Da müssen wir auf neue Versionen von Dennis warten.

Ich stehe nun vor der Schwierigkeit herauszufinden ob ein Bug durch mich 
beeinflusst werden kann oder nicht.

Viele Grüße
Tobias

von vectrex (Gast)


Lesenswert?

soda habe das heute morgen endlich! zum laufen gebracht  :)

die Kingston 2GB karte hat komischerweise erst funktioniert als ich sie 
mit der Kamera!!! formatiert hatte ! formatieren unter XP mit FAT32 bzw. 
FAT hat nicht! funktioniert (ich habe die selben dateien draufkopier!)

die Kamera legt auf der Karte automatisch ein DCIM dir an .... ist es 
noetig eine gewisse anzahl von files auf der karte zu haben ??? bzw. 
muss ein dir vorhanden sein

spihost.rom
kick.rom
giana.adf

mit den 3 files alleine hat es definitiv nicht funktioniert !

komische sache ...

werde mich nun mal ans testen von diverser software machen ... giana 
sisters laeuft ja mal (zumindest das Intro ( hatte keine zeit den PS2 
adapter fix zu installen darum ohne Tastatur ausprobiert)

wie sieht es denn mit der moeglichkeit aus z.b. einen JOYSTICK an das 
DE1 zu connecten ???? gibts da schon einen bastelvorschlag?

wie gross duerfen die SD karten sein ?? 8GB max ?

ich will ja nicht nach einer moeglichkeit fragen eine HDD 
anzuschliessen... aber ev. lauft ja schon eine bei jemandem ? hahah

beste gruesse und danke fuer den riesenaufwand an tobiflex und auch 
dennis ..... super sache !

von vectrex (Gast)


Lesenswert?

nochwas

fuers DE1/2 gibts ja so ein schoenes LCD display fuer den expension 
connector:

The TRDB_LCM module

wie sieht es aus mit der gfx ausgabe darauf ?? wuerde ja einen sehr 
kompalten amiga ergeben

von Thomas P. (pototschnig)


Lesenswert?

Hallo,

ich bin auch Besitzer des DE1 und wollte fragen, ob ich auch den Amiga 
ausprobieren könnte ... Wie kommt man denn an einen Snapshot?

Mfg
Thomas Pototschnig

von Tobias G. (tobiflex)


Lesenswert?

Hallo vectrax,
LCD-Display hab ich erstmal nicht vor - sag aber niemals nie!
JOYSTICK-Anschluß ist definitiv wichtig und in Planung.
SD-Karten gibt es nur bis max. 4GB - mehr sind technisch nicht möglich. 
Meist ist schon bei 2GB Schluß.
Danach heissen die Karten SDHC und werden anders angesteuert als 
SD-Karten. Die gibt es dann auch mit höherer Kapazität.
Der derzeitige Core nutzt SD-Karten und diese müssen mit FAT16 
formatiert sein. Bis 2GB hab ich ausprobiert. SDHC oder FAT32 werden 
nicht unterstützt.
Eine HDD läßt sich auch nicht anschliessen. Aber denkbar wäre die HDD 
durch die SD- oder SDHC-Karte zu ersetzen. Das ist aber wenn überhaupt 
Zukunftsmusik.


@Thomas
PM über dieses Forum an mich.

Viele Grüße
TobiFlex

von vectrex (Gast)


Lesenswert?

sehr gut das mit dem joystick zu hoeren !

bitte um infos ASAP danke ;)

von vectrex (Gast)


Lesenswert?

hallo ! waere es denkbar das SD Dir menu scrollbar oder groesser zu 
machen ? werden ja nur 6 files im moment angezeigt !

zum test der ver 1.2c (DE1)

Turrican 3 läuft nicht!

von Tobias G. (tobiflex)


Lesenswert?

"hallo ! waere es denkbar das SD Dir menu scrollbar oder groesser zu
machen ? werden ja nur 6 files im moment angezeigt !"
Bestimmt. Weiß nicht. Hab mich noch nie mit beschäftigt.

"Turrican 3 läuft nicht!"
Danke. Und sonst so. Gib es auch was positives?

Viele Grüße
TobiFlex

von TobiFlex (Gast)


Lesenswert?

"Thomas Pototschnig schrieb:
ich bin auch Besitzer des DE1 und wollte fragen, ob ich auch den Amiga
ausprobieren könnte ... Wie kommt man denn an einen Snapshot?"

Die Bitstreamfiles für das DE1 und DE2 Board und eine kurze Beschreibung 
sind hier zu finden:

http://home.hetnet.nl/~weeren001/downloads.html

Viele Grüße
TobiFlex

von Thomas D. (vectrex)


Lesenswert?

bin noch am testen ;)

hab noch nichtmal sound, bzw. ps2 dran ;(

grosses problem seh ich das fehlen des joysticks .. das waer ein hit !

von Tobias G. (tobiflex)


Angehängte Dateien:

Lesenswert?

So, Joystick ist angebaut und geht.
Doku kommt später.

von Thomas D. (vectrex)


Lesenswert?

SUPER!!!!!

von Thomas P. (pototschnig)


Lesenswert?

TobiFlex wrote:
> "Thomas Pototschnig schrieb:
> ich bin auch Besitzer des DE1 und wollte fragen, ob ich auch den Amiga
> ausprobieren könnte ... Wie kommt man denn an einen Snapshot?"
>
> Die Bitstreamfiles für das DE1 und DE2 Board und eine kurze Beschreibung
> sind hier zu finden:
>
> http://home.hetnet.nl/~weeren001/downloads.html

Danke schön, ich werd das in den nächsten Tagen mal ausprobieren :-)

Mfg
Thomas Pototschnig

von Claude (Gast)


Lesenswert?

Hab jetzt auch mal die letze Version (DE1_12C) getestet. Stunt Card 
Racer geht , Nitro geht , Battle Isle (YEAH!!!) geht,Speed Ball 2 
geht....
Bis auf Turrican geht eigentlich bei mir fast alles.
Wochenende ist gerettet :-)
Vertreibt mir sogar meine schlechte Laune die durch das Warten auf 
meinen EEEPC so langsam aufkommt.

Habe noch ne Frage zum 15KHz Monitor Modus , ist das ein Composite Sync 
der rauskommt oder H & V Sync ? Bei Dennis Minimig kommt bei 15kHz ja 
ein Composite Sync raus, aber irgendwie bringe ich mein PSONE TFT 
(Benötigt CSync) am DE1 nicht zum Sync-en.

Gruß
Claude

von Tobias G. (tobiflex)


Lesenswert?

Hallo Claude,
Danke für das Feedback - ist sehr wichtig für mich! Oder anders gesagt 
das motiviert mich weiterzumachen.

Zu den Syncs kann ich nicht viel sagen - die kommen aus Dennis 
Minimigcore und ich reichs sie 1:1 weiter. Ich denke mal da sind H und V 
getrennt.

Turican guck ich mir mal an.

Viele Grüße
TobiFlex

von Tobias G. (tobiflex)


Lesenswert?

Auf Yahoo habe ich gerade eine Gruppe eingerichtet um meinen Sourcecode 
für die erfolgreiche Anpassung des Minimigcores von Dennis van Weeren an 
das DE1 und DE2 Board zu veröffentlichen:

http://gamesource.groups.yahoo.com/group/minimigtg68/

Dort werde ich auch immer die neusten Versionen verbreiten.

Viele Grüße
TobiFlex

von Thomas D. (vectrex)


Lesenswert?

SUPER SACHE ! DANKE ! das werd ich heute gleich probieren ;)

btw. Giana Sisters läuft nicht ;(

bleibt einfach stehen (nach dem RS intro)

noch ne frage zur maus .. welche kann eingesetzt werden ?? läuft auch 
eine optische ??? bei N&S gibts bei mir nur wildes gezucke !

danke mfg thomas

von Dirk (Gast)


Lesenswert?

Bei mir funktioniert eine optische Logitech Maus.

Dirk

von Claude (Gast)


Lesenswert?

Juhuu Sourcen :-) Danke TobiFlex!!
Wie ist das eigentlich beim DE1 mit den Joystick / Maus Interface und 
der (Glaube ich nicht vorhandenen) 5V IO Toleranz des FPGAs ? Das DE2 
hat ja Klemmdioden und Serienwiderstände um auf 3.3V Pegel zu kommen, 
dem DE1 fehlt leider sowas. Evtl. müsste man den Adapter mit einer 
ähnlichen Schaltung wie auf dem DE2 erweitern?
Würde mich als Volunteer melden falls bedarf da ist :-)

Gruß
Claude

von TobiFlex (Gast)


Lesenswert?

Die IO-Bänke des Cyclone auf der linken und rechten Seite des Chips 
lassen sich als PCI Standard einstellen. An beiden GPIOs des DE1 und DE2 
Boards sind die Pins 21-40 genau an diese Bänke angeschlossen. Außerdem 
sind zwischen FPGA und GPIO Serienwiderstände vorhanden. Damit läßt sich 
eine 5V Toleranz als Eingang erreichen. Als Ausgang kann der Pin 
natürlich nur bis 3,3V treiben.
Das geht so:
Wird der Pin als PCI-pin deklariert, wird eine Clampingdiode gegen 3,3V 
aktiviert. Der Serienwiderstand dient als Strombegrenzung. Liegen 5V am 
GPIO an fließt also ein Strom über den Serienwiderstand und die 
Clampingdiode. Der Spannungsabfall am Serienwiderstand sorgt dafür, daß 
am fpga-pin viel weniger als 5V ankommen.
Leider funktioniert das aber erst wenn der FPGA konfiguriert ist.
Deshalb habe ich vorsichtsthalber die Pullup Widerstände des 
Joystickadapters mit 3,3V verbunden.
Ich habs aber auch schon mit 5V probiert und das ging auch gut weil sich 
ja dann die Werte des Serienwiderstandes und des Pullupwiderstandes 
addieren.
Aber sicher ist sicher.

von Claude (Gast)


Lesenswert?

Stimmt , auf die Idee bin ich noch gar nicht gekommen :-) Aber guter 
Tipp, hab schon einen halb fertigen Levelshifter daheim rumliegen um ein 
IDE Interface an das DE1 zu bekommen. Das Fädeldraht Inferno hat jetzt 
wohl ausgedient.

Ich Kompiliere gerade das DE1 Minimig Projekt, dauert bis jetzt 45 
Minuten auf einem Athlon 64 3800 mit Webpack. Ist das normal oder hast 
Du eine Quartus Vollversion mit inkrementeller Kompilierung?

von Dirk (Gast)


Lesenswert?

Bei mir hat das Kompilieren des kompletten DE1 Cores 15 Minuten 
gedauert.
CPU Opteron 175 und dem Webpack.In den Meldungen der Software stand das 
nur 1 CPU wegen der Lizenzbedingungen des Webpacks genutzt wurde.

von Tobias G. (tobiflex)


Lesenswert?

Hallo,
Bevor Quartus eine lauffähige Version ausspuckt muß das spihost/Bootrom 
mit SDCC compiliert werden. Sonst weis der SPI Host nicht was er machen 
soll.
Bei mir dauert 1x Compilieren auch 13 min.
Ich packe mal noch schnell das bootrom in die Gruppe.

von Thomas D. (vectrex)


Lesenswert?

bei mir funktioniert die maus einfach nicht ;(

(de1 mit dem y kabel fix)

von Claude (Gast)


Lesenswert?

Hm Ok, dann werd ich es nochmal Probieren. Insgesamt hat er genau 1.47 
Stunden gebraucht. Und Danke für das Bootrom Binary, wieder ein Compiler 
weniger auf der Kiste.

von Claude (Gast)


Angehängte Dateien:

Lesenswert?

@vectrex
Hast Du dich vielleicht bei den PINs verzählt? War bei mir beim ersten 
versuch auch.

von Claude (Gast)


Lesenswert?

Autsch, Sorry für das Monster JPEG mit schlechter Qualität.

von Tobias G. (tobiflex)


Lesenswert?

"Insgesamt hat er genau 1.47 Stunden gebraucht."
Upss! Das ist lange. Wieviel RAM hast du im Rechner?

"bei mir funktioniert die maus einfach nicht ;(
(de1 mit dem y kabel fix)"
Geht denn die Tastatur mit dem Y-Kabel?
Vertausche mal Maus und Tastaturstecker am Y-Kabel. Die Beschriftung am 
Y-Kabel ist nur beim Anschluß an ein Notebook richtig. Für das DE1 
müssen die Stecker genau vertauscht sein.

von Dirk (Gast)


Lesenswert?

Bei mir wird der Minimig zwar in 15 Minuten durchkompostiert, das 
Ergebnis daraus funktioniert aber nicht.
Warning: Can't find design file minimig/BootRom.v

Die DE1_bootrom.hex ist im Verzeichnis \spihost\bootrom.



Beim Erstellen der DE1_bootrom.hex gibts eine Warnung vom SDCCC.
?ASlink-Warning-Undefined Global '.isp1' referenced by module 'hardware'
?ASlink-Warning-Byte PCR relocation error for symbol  .isp1
Ich benutze den aktuellen SDCC 2.7

Grüße Dirk

von Dirk (Gast)


Lesenswert?

Den ersten Fehler habe ich gefunden.
Die Datei Bootrom.v wird nicht benötigt.Aber in der Datei hostrom.vhd 
muß der Pfad für die DE1_bootrom.hex angepasst werden.


Dirk

von Tobias G. (tobiflex)


Lesenswert?

Stimmt.
Der Fehler mit .isp1 kommt bei mir nicht. Kontrolliere bitte nochmal die 
Sourcefiles.
Aber fälschlicher Weise hab ich geschrieben crt0.asm muß umbenannt 
werden. Richtig ist aber \sdcc\lib\Z80\crt0.o darf der Linker nicht 
finden. Eigentlich hab ich in der SDCC option gesagt er soll nicht die 
standard crt0.o einbinden. Aber scheinbar wird das ignoriert.
Aber wenn der Compiler die nicht findet linkt er die eigene crt0.o

von Dirk (Gast)


Lesenswert?

Bei mir ist in der hardware.c das Label isp1 auskommentiert.
Deshalb wird das nicht gefunden.

von Tobias G. (tobiflex)


Lesenswert?

Das Label .isp1 wird doch aber auch garnicht angesprungen?

von Dirk (Gast)


Lesenswert?

Das mag sein, in den Warnungen erscheint es trotzdem.
Bei mir läufts jetzt auch mit selbsterstelltem Hexfile.

von Tobias G. (tobiflex)


Lesenswert?

SUPER!

von Thomas D. (vectrex)


Lesenswert?

besten dank fuer den tip keyb/maus zu vertauschen ;)

funktioniert soweit alles (WB 3.1)

von Thomas D. (vectrex)


Lesenswert?

N&S haengt weiter nach GO
Turrican keine funktion
Giana Startet nicht

Gauntlet II ... ok
Wb3.1 ... ok

zu mehr bin ich nicht gekommen

von Thomas D. (vectrex)


Angehängte Dateien:

Lesenswert?

amiga screen of death ... haha

von Thomas D. (vectrex)


Lesenswert?

verhalten Ikari Warr. die männchen laufen erst in den startbildschirm 
wenn man space auf der tastatur gedrueckt haelt !

von Thomas D. (vectrex)


Lesenswert?

ich braeuchte einen tip wegen dem pinout von GPIO1 da leider Pin 1 auf 
der platine nicht gekennzeichnet ist und ich auch im altera manual 
nichts finde ... danke !

von Claude (Gast)


Lesenswert?

Board umdrehen , der PIN mit dem eckigen Pad ist 1.
Gruß
Claude

von Thomas D. (vectrex)


Angehängte Dateien:

Lesenswert?

besten dank fuer die schnelle hilfe hier mein setup ;) funktioniert 
bestens

von Katja (Gast)


Lesenswert?

Hallo Tobi,
danke, danke, danke, ...
für Deinen Anteil am "Amiga-im-FPGA"-Projekt und die zur Verfügung 
gestellten Sourcen.

Zumindest für mich war das jetzt der Hauptgrund mir ein DE1-Board zu 
kaufen und mich demnächst näher damit zu beschäftigen.
Der Core für DE1 lief bei mir auf Anhieb, und auch die meisten Spiele 
scheinen wie auf dem echten Amiga zu laufen - Super!!!

Die Bestellung des DE1-Boards lief übrigens total problemlos. Direkt bei 
Terasic bestellt und nach ca. 5 Tagen wurde schon geliefert.
FYI, preislich kam folgendes zusammen:
DE1-Board: 150 $ (Dollar)
Versand: 42.60 $
Gebühren (Einfuhrsteuer+Verwaltungsgebühr): 36.13 EUR
Die Gebühren wurden nachträglich vom FedEx Fahrer kassiert.

Beste Grüße,
Katja

von SvenG (Gast)


Lesenswert?

Hallo Katja und an alle anderen,
wie hast du(ihr) bei Terasic bezahlt mit ner Kreditkarte?
Irgendwie bin ich am grübeln ob ich mir für 159,99 Euro ein Minimigboard 
bei Vesalia hole oder evtl. ein DE1 Board mit dem ich auch in Richtung 
FPGA meine ersten Schritte versuchen könnte.

Viele Grüße
Sven

von Katja (Gast)


Lesenswert?

Ja Kreditkarte.
Bezüglich Entscheidung Minimig oder DE1 muss man noch anmerken, dass der 
68k auf dem DE1 Board ja auch im FPGA läuft und möglicherweise 
zusätzliche Bugs mit sich bringt, die auf dem Minimig nicht auftreten. 
Inwieweit es derzeit schon Inkompatibilitäten zwischen FPGA-68k auf dem 
DE1 und dem festverdrahtetem 68k auf dem Minimig gibt weiß ich 
allerdings nicht.

Pro DE1:
Es gibt auch noch andere Systeme wie z.B. den C64, die inzwischen 
einigermaßen gut auf dem DE1 laufen. Viele Projekten, die man im Netz 
findet sind jedoch noch nicht auf das DE1 portiert und dies ist 
teilweise recht schwierig, da die RAM-Zellen im FPGA schnell knapp 
werden - im Gegensatz zum DE2 Board.
Siehe z.B. hier http://pacedev.net/forums/index.php

von SvenG (Gast)


Lesenswert?

Kannst du von irgendwelchen inkompatibilitäten berichten? Welche 
Software bzw. Kickstart hast du auf deinem DE1 schon getestet? Mann 
findet im I-Net auch sehr wenig übers original Minimig Board, außer ein 
YouTube Video wo die Workbench geladen wird. Es muß ja nicht jedes Spiel 
laufen, es wäre aber schön wenn ich meinen alten SEKA Assembler 
rauskramen könnte und der darauf funktionieren würde... die schönen 
alten Zeiten:)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.