Forum: FPGA, VHDL & Co. Testbench:Zugriff auf int Signale per Testbench Source Code


von FPGA-Fragender (Gast)


Lesenswert?

Hallo zusammen,

ich arbeite mit der WEB ISE 8.1 und möchte in einem selbstgeschriebenen 
Testbench mehrere Signale aus meiner "unit under test" uut in eine Datei 
schreiben.

Das ganze funktioniert perfekt, nur habe ich bisher die Signale als 
Ports auf die Top Entity herausgeführt.

DAs ist mir auf die Dauer zu umständlich. Es gibt irgendwie die 
Möglichkeit per Code auf die internen Signale der in der uut enthaltenen 
Componenten zuzugreifen aber ich finde nirgends die richtige Syntax.

In der SIM Help hab ich schon gesucht.

Also irgendwie in der Art:

if ( top_modul/my_sub_component/my_signal_in_Sub_component = '1' ) then

Würde mich echt freuen, wenn mir da jemand weiter helfen könnte.

Gruß FPGA-Fragender

von Falk B. (falk)


Lesenswert?

@ FPGA-Fragender (Gast)

>DAs ist mir auf die Dauer zu umständlich. Es gibt irgendwie die
>Möglichkeit per Code auf die internen Signale der in der uut enthaltenen
>Componenten zuzugreifen aber ich finde nirgends die richtige Syntax.

AFAIK nein.

MFG
Falk

von Thomas (Gast)


Lesenswert?

Könnte das vielleicht über der Foreign Language Interface von Modelsim 
gehen?

von Falk B. (falk)


Lesenswert?

Keine Ahnung.

von FPGA-Fragender (Gast)


Lesenswert?

Hallo zusammen,

je größer mein Projekt wird, desto mehr werden mir die Schwächen der WEB 
ISE bewußt.

Na ja, ist halt kostenlos..

Trotzdem Danke für Eure Beiträge, so muss ich nicht weiter suchen.

Gruß vom FPGA-Fragenden

von Kest (Gast)


Lesenswert?

Ich mache etwa so:

man definiert in einer Package einen Typen mit verschiedenen 
Ausgangssignalen etwa so

type rEntityOut is record
 DataOut : std_logic_Vector(7 downto 0);
 DataVal : std_logic;
 debug   : std_logic_vector(7 downto 0);
 enable  : std_logic;
end record;

in der Top-Entity binde ich die Package ein und sollte sich was ändern, 
muss ich nur die Package ändern. Die nicht benutzten Signale werden 
sowieso wegsynthetisiert.

Ansonsten, das hat nichts mit ISE zu tun, sondern mit VHDL. Und ich 
finde es auch gut so, dass es nicht einfach geht. Das würde verleiten, 
auf die Signale zuzugreifen, obwohl man es nicht darf.

Grüße,

Kest

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.