Forum: FPGA, VHDL & Co. VDHL PORT MAP Nutzung


von Fried (Gast)


Lesenswert?

Hi und frohes neues Jahr!
Habe wohl einen Knoten im Hirn bzgl. VHDL, PORT MAP.
Der Altera SOPC Builder erzeugt für's SDRAM ein Signal
zs_ba_from_the_sdram : STANDARD_LOGIC_VECTOR(1 downto 0).
Ich möchte es in der PORT MAP Liste mappen auf zwei einzelne Signale
SDRAM_BA_0 und SDRAM_BA_1 vom Typ STANDARD_LOGIC.
Geht das so nicht? Compiler meckert!

von Falk B. (falk)


Lesenswert?

@ Fried (Gast)

>SDRAM_BA_0 und SDRAM_BA_1 vom Typ STANDARD_LOGIC.
>Geht das so nicht? Compiler meckert!

Logisch, zwei Einzelsignal kann man nicht in der Port map als einen 
Vektor verwenden. Eher so
1
signal tmp: std_logic_vector(1 downto 0);
2
3
--
4
5
tmp <= SDRAM_BA_1 & SDRAM_BA_0;
6
7
--
8
9
port map
10
( ba_from_the_sdram => tmp,

MFG
Falk

von Fried V. (tich)


Lesenswert?

Danke, eigentlich völlig klar wenn man gründlich drüber nachdenkt.
Da die Signalnamen für das DE2 Board (Pin Zuordnung) außerdem als 
.csv-Datei vorliegen, ist es einfach die Änderungen dort vorzunehmen, 
also
DRAM_BA_O -> DRAM_BA[0] und DRAM_BA_1 -> DRAM_BA[1].
Aber die einfache Lösung sieht man ja häufig erst nach langem Fummeln..

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.