Forum: FPGA, VHDL & Co. Initialisierung von Signalen


von Philip K. (plip)


Lesenswert?

Servus,

hat eine Anweisung wie:

signal x: std_logic := '0';

eigentlich auch in der Synthese den Effekt, dass der Anfangszustand des 
Signals definiert ist oder gilt das nur für die Simulation?

Ist es generell empfehlenswert sowas wie einen globalen reset 
vorzusehen, der alle Signale auf einen definierten Wert setzt?

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Siehe Reset für FPGA/CPLD. Bei Xilinx setzt das den Anfangszustand 
eines Flip-Flops und wird auch statt einem globalen Reset empfohlen.

von Philip K. (plip)


Lesenswert?

Alles klar, danke!

von Besenschwinger (Gast)


Lesenswert?

<Siehe Reset für FPGA/CPLD. Bei Xilinx setzt das den Anfangszustand
<eines Flip-Flops und wird auch statt einem globalen Reset empfohlen.

Xilinx enpfiehlts und deren synthesetool xst packt das auch, andere 
tools
z.b. synplicity packen das nach meinen erfahrungsstand nicht. Da wird 
die VHDL Zuweisung schlicht ignoriert und der FPGA hat dann wohl 
standardmäßig ne null geladen. Wie es bei FSM aussieht (powerUp- state) 
ist mir unbekannt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.