Forum: FPGA, VHDL & Co. System Generator - Simulink


von tommy1802 (Gast)


Lesenswert?

Hallo Leute,

hat von euch jemand erfahrung mit dem folgenden Tool für Simulink 
(MATLAB)

http://www.xilinx.com/ise/optional_prod/system_generator.htm

Ich möchte Ultra-Breitband-Pulse erzeugen und benötige dafür die 
RocketIO's
vom Xilinx Virtex-II Pro bis ca. 3 Gbit/s. Ich suche dafür ein passendes
Simulationstool.

Kann mir jemand sagen wie gut dieses System Generator Tool für Simulink 
ist
und wie brauchbar der generierte VHDL-Code ist.

lg Tommy

von Rick Dangerus (Gast)


Lesenswert?

Es gibt Firmen, die verdienen Ihr Geld mit dem System Generator.
(Und angesichts der Preise sollte das auch möglich sein.)

Allerdings hat man mit Matlab/Simulink und ISE zwei 
Killer-Applikationen gleichzeitig am Laufen. Da sollte der Rechner schon 
etwas flotter und mit Speicher gesegnet sein.

Rick

von Xenu (Gast)


Lesenswert?

Mir ist nicht ganz klar für was Du so ein Tool benötigst, wenn es um die 
Erzeugung von Pulsen geht.

von tommy1802 (Gast)


Lesenswert?

die pulse müssen auch speziell moduliert werden. BPM - BPSK usw.

wird auf jedenfall etwas komplexer als nur pulse zu senden

kann man so etwas nun mit dem System Generator oder nicht?
oder ist das teil eher für Signalverarbeitung?

lg Tommy

von Xenu (Gast)


Lesenswert?

Wieso frägst Du nicht einfach mal bei Xilinx nach?

von Der Simulinker (Gast)


Lesenswert?

>Allerdings hat man mit Matlab/Simulink und ISE zwei
>Killer-Applikationen gleichzeitig am Laufen

Die laufen nicht wirklich gleichzeitig.

von Weltbester FPGA Pongo (Gast)


Lesenswert?

>kann man so etwas nun mit dem System Generator oder nicht?
Nein. Der Systemgenerator schaltet nur CORES zusammen. Alles andere 
musst Du per Hand definieren. Du kannst aber embedded MATLAB verwenden, 
um Pulsfolgen zu generieren.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.