Forum: FPGA, VHDL & Co. Generic Map in Schematics


von The_Akki (Gast)


Lesenswert?

Hallo,

ich habe ein Projekt in ISE 9.2. Darin habe ich als Top-Design ein 
Schematic-File. Nun habe ich ein Block in VHDL geschrieben.
Die Ports:
1
ENTITY Frequenzteiler IS
2
    GENERIC(
3
      F_IN : INTEGER RANGE 0 TO 250000000 := 50000000;
4
      F_OUT : INTEGER RANGE 0 TO 250000000 := 48000;
5
      TAKTE : INTEGER RANGE 0 TO 200 := 34
6
    );
7
    PORT (
8
      CLK_IN : IN  STD_LOGIC;
9
      CLK_OUT : OUT  STD_LOGIC
10
    );
11
END Frequenzteiler;

In das Schematic-File habe ich nun den Block eingefügt. Nun finde ich 
leider keinerlei Möglichkeit in der Schematic Darstellung so etwas wie 
eine Generic Map zu machen.

Weiß jemand wie ich dies machen kann. Schön wäre zudem noch wenn (unter 
dem Block) die Parameter aufgelistet werden würden.

MfG
The_Akki

von Rick Dangerus (Gast)


Lesenswert?

Man kann wohl einen String im schematic definieren, der als generic 
verwendet werden soll. M.E. eine sehr umständliche Methode.

Ein weiterer Punkt, warum man mit dem schematic-Editor nicht produktiv 
arbeiten kann. Schade eigentlich.

Rick

von Heuler1970 (Gast)


Lesenswert?

Was produziert denn ISE, wenn man aus einem solchen HDL ein Symbol macht 
? Gibt es da nicht noch ein Attribut, das man setzen kann?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.