Ich habe einen Bit-Vector sig_datareg_in(15 downto 0), in dem Daten abgelegt sind, diese möchte ich seriell (Leitung ser_io) raus schieben mit MSB-Firs. ser_io ist folgendermaßen in der Entity definiert: ser_io : inout STD_LOGIC;
1 | if rising_edge(CLK) then |
2 | |
3 | when state_readdata => |
4 | |
5 | ser_io <= sig_datareg_in(15); |
6 | sig_datareg_in(15 downto 1) <= sig_datareg_in(14 downto 0); |
7 | sig_bit_cnt_int <= sig_bit_cnt_int + '1'; |
8 | |
9 | if sig_bit_cnt_int >= 15 then |
10 | sig_bit_cnt_int <= (others => '0'); -- reset counter |
11 | end if; |
12 | |
13 | end if; |
Leider bekomme ich folgende Fehlermeldung: Cannot resolve indexed name (type std.STANDARD.BIT) as type ieee.std_logic_1164.STD_LOGIC. Wie konvertiere ich richtig einen BIT_VECTOR zu STD_LOGIC um? Vielen Dank