Forum: FPGA, VHDL & Co. CPLD USB Programmer


von Karsten K. (karsten42)


Lesenswert?

Moin Moin,

Auch wenn ich wahrscheinlich ein "Augenroll-Thread" eröffnet habe: Bitte 
um etwas Nachsicht.

Ich möchte in die CPLD programmierung mit einem XC 9527 ( wegen PLCC 
Gehäuse ) einsteigen und benötige eine passenden USB-Programmer. Es gibt 
einige bei ebay von denen ich nicht erkennen kann ob diese mit der 
Xilinx ISE WebPack software funktionieren. Andere "Kompatible" liegen 
mit einem Preis so um die 100,- EUR und mehr deutlich ausserhalb meines 
Budgets. Sicher: Billig ist nicht immer gut und ich möchte mich darauf 
verlassen können, das der Programmer einwandfrei funktioniert. Bei einem 
Einstieg in neue Technik gibt es genug Probleme als das ich noch darüber 
nachdenken muss ob der Programmer auch funktioniert :-)

Welchen kostengünsigen aber funktionierenden Programmer könnt Ihr 
empfehlen?

Herzlichen Dank für eure Geduld!

Karsten

von Christian R. (supachris)


Lesenswert?

Bei Ebay gibts immer mal China-Clones des Xilinx Programming Cable USB, 
die sind dann natürlich kompatibel.
Ansonsten kannst du auch mal bei Digilent schauen, z.B. das "JTAG HS1 
Programming Cable" ist voll kompatibel zu Impact und Co und sehr 
schnell. Mit 55 Dollar auch recht preiswert. Über Studentanrabatt 
vielleicht noch besser.
Für ganz billig kannst du auch jedes beliebige FTDI basierte JTAG Kabel 
nehmen und mit der Software xc3sprog programmieren. Aber dann halt nicht 
über Impact.

von Karsten K. (karsten42)


Lesenswert?

Hallo Christian,

Herzlichen Dank für den Hinweis! Bei China-Clones bin ich immer etwas 
vorsichtig obwohl Xilinx die Originale sicher auch in China fertigen 
lässt. Ich schau mal bei Digilent nach.

Beste Grüße
Karsten

von Norbert (Gast)


Lesenswert?

Hallo Karsten,

vor dem Problem stand ich auch. Eine andere (funktionierende) 
Alternative wäre die Nachrüstung einer Parallelport-Karte und die 
Verwendung eines Parallel Cable III Clones. Das geht natürlich nur mit 
einem Desktop PC aber diese Lösung ist recht kostengünstig und 
funktioniert mit Xilinx iMPACT bestens. Damit kann man die 5V und 3,3V 
Typen der XC9500 Serie programmieren.

Ich verwende z.B. diesen Programmierer

http://www.100randomtasks.com/xilinx-parallel-programmer

Gruß, Norbert

von Christian R. (supachris)


Lesenswert?

So ein Gefrickel würde ich 2013 nicht mehr anfangen. Die Digilent 
Programmer sind ja nun wirklich nicht teuer.

von Frank K. (fchk)


Lesenswert?

Karsten K. schrieb:

> Herzlichen Dank für den Hinweis! Bei China-Clones bin ich immer etwas
> vorsichtig obwohl Xilinx die Originale sicher auch in China fertigen
> lässt. Ich schau mal bei Digilent nach.

Wenn die Chinesen was können, dann ist es 1:1 Kopien anfertigen. Ich 
habe so ein Chinateil, und es funktioniert hervorragend.

fchk

von Norbert (Gast)


Lesenswert?

Christian R. schrieb:
> So ein Gefrickel würde ich 2013 nicht mehr anfangen. Die Digilent
> Programmer sind ja nun wirklich nicht teuer.

Naja, immer noch besser als sich den 101ten zusammengefrickelten USB 
Treiber aus suspekter Herkunft zu installieren und sich dann zu wundern, 
warum der PC nicht mehr stabil läuft und sich ständig aufhängt oder 
andere seltsame Effekte (Leistungsminderung usw.) auftreten. Die "alten" 
PC Schnittstellen haben zumindest die Vorteile, sie benötigen keine 
zusätzlichen Treiber, funktionieren 100%ig und sind superstabil.

Aber das soll jeder selbst entscheiden, was er auf seinem Arbeits-PC 
installiert.

Wobei die Sachen von Digilent zugegebenermaßen wirklich gut sind, die 
kann man bedenkenlos empfehlen.

von Christian R. (supachris)


Lesenswert?

Wo ist denn da ein Frickel-Treiber? Die Xilinx Programmer und deren 
Clones laufen mit dem Jungo-Driver und die Digilent Programmer mit dem 
FTDI Treiber. Beide sind durch WHQL getestet, also kann man sich sehr 
sicher sein, dass das stabil läuft. Beim Parallelport-Gefrickel über 
Bitbanging ist von der unterirdischen Geschwindigkeit ganz abgesehen 
nicht mal sicher, ob das unter x64 überhaupt noch so einfach läuft.

von Holger H. (holger-h-hennef) Benutzerseite


Angehängte Dateien:

Lesenswert?

Karsten K. schrieb:
> Welchen kostengünsigen aber funktionierenden Programmer könnt Ihr
> empfehlen?
Nimm ein altes Spartan-3E board, die sind billig in der Bucht zu haben.
Dann noch einen Hirose Plug, dran. Und die Beschaltung I-sel, Siehe 
Bild.
Damit kannst du wie das vorgesehen ist, extern das JTAG auf ein 
Test-Board
führen. Digilent Companion Board. Siehe Bild rechts.
Gruss Holger.
PS.
Vorteil mit dem Spartan 3E kannst du den CLPD dann noch testen.

Spartan-Dev-KIT->[][][][][]--->XC9536.TestChip

Siehe Tristate Buffer 125.

: Bearbeitet durch User
von Holger H. (holger-h-hennef) Benutzerseite


Lesenswert?

So ist das Companion Board FX2
http://www.digilentinc.com/Data/Documents/Product%20Documentation/FX2%20MIB_rm_RevA.pdf
Das sind aber noch spezielle für den Spartan-3E, aber alles mit Hirose
Connector.
Sind auch noch mit Bread-Board (SteckBrett).
Da ist das multifunktionell gemacht.
Kann man sich aber auch selber basteln.
Ich habe noch einen 18 Euro billig Saleae LA Clone dazu gekauft.
Sieh Bild.
Damit hat man dann ein schönes Dev-Kit.


Gruss Holger.

von Holger H. (holger-h-hennef) Benutzerseite


Lesenswert?

@Karsten K. (karsten42)
Tip:
Der Peter Sieg, der ist super nett.
Ich habe den auch damals angeschrieben.
Der hat mir die Software für seine Demo da besorgt, das ist
ein VGA System mit Textausgabe.
Der will sein Spartan 3E Board wieder verkaufen.
+ Bücher + CD ..
Also eine Option für dich, damit Spartan 3E habe ich
ganz einfach angefangen, um in die Xilinx Welt zu kommen.

--------------------------------------------------------------------
[V] Xilinx Spartan 3E Starter Kit OVP + Extra
Link: Markt.
Beitrag "[V] Xilinx Spartan 3E Starter Kit OVP + Extra"
von Peter Sieg (petersieg)
Zitat:
Ich habe es hier für 95€ gekauft und für 85€ verkaufe ich es hier 
wieder.
Inhalt sind das Board, OVP, Bücher+CD's,
die wohl so orig. dabei waren, das Steckernetzteil und USB Kabel

-----------------------------------------------------------
Gruss Holger.

von Norbert (Gast)


Lesenswert?

Christian R. schrieb:
> Wo ist denn da ein Frickel-Treiber? Die Xilinx Programmer und deren
> Clones laufen mit dem Jungo-Driver und die Digilent Programmer mit dem
> FTDI Treiber. Beide sind durch WHQL getestet, also kann man sich sehr
> sicher sein, dass das stabil läuft. Beim Parallelport-Gefrickel über
> Bitbanging ist von der unterirdischen Geschwindigkeit ganz abgesehen
> nicht mal sicher, ob das unter x64 überhaupt noch so einfach läuft.

Na, das sind aber mal wieder die Experten mit ihrem angelesenen 
Halbwissen unterwegs.

Zunächst mal zu dem Jungo Treiber. Diese Treiber stammen von einem 
Baukastensystem für Leute/Firmen, die ohne großen Aufwand mal schnell 
einen passenden Treiber erstellen wollen und sich nicht die Mühe machen, 
den kompletten Treiber selbst zu programmieren. Die WHQL Zertifizierung 
sagt nichts über einen fehlerfreien Betrieb aus und wenn man mal mit 
Google nach Problemen bezüglich dieser Treiber sucht, findet man 
reichlich Treffer in gängigen Foren im Internet.

Zum Thema Übertragungsgeschwindigkeit und Parallelport hast du dir wohl 
nicht mal die Mühe gemacht, belastbare Angaben zu finden denn die 
Datenrate über den Parallelport im ECP Modus bringt annähernd die selbe 
Geschwindigkeit wie USB 2.0, was für die Programmierung eines CPLD oder 
FPGA im Hobbybereich mehr als ausreichend ist. Und ich habe noch keinen 
PC gesehen, bei dem der Datentransfer über den Parallelport nicht 
zuverlässig läuft denn die systeminternen Windows Treiber vom 
Parallelport laufen fehlerfrei mit hoher Geschwindigkeit, auch mit 64 
Bit Systemen.

Soweit meine 2 Cent zu diesem Thema.

von Christian R. (supachris)


Lesenswert?

Naja, ich arbeite hauptberuflich mit Xilinx, kenne daher den Unterschied 
im Speed zwischen Parallel Cable IV und dem USB Cable. Das sind Welten. 
Klar für einen kleinen CPLD zu Hause geht das schon, aber 
ansonsten....naja. Und ich hab auch schon einen USB Treiber versucht 
durch WHQL zu bringen, glaub mir, der muss wirklich sehr sauber sein, 
dass der bei den massenhaft Tests nicht durchfällt.

von Frank K. (fchk)


Lesenswert?

Dem muss ich zustimmen! Bei einem Digilent-Board war ein 
Parallelport-Kabel dabei gewesen. Der Geschwindigkeitsunterschied zu 
meinem China-USB-Clone war schon erschreckend. Mich hätte es genervt, 
mit dem Parallelportkabel weiter arbeiten zu müssen.

fchk

von Holger H. (holger-h-hennef) Benutzerseite


Angehängte Dateien:

Lesenswert?

Frank K. schrieb:
> meinem China-USB-Clone war schon erschreckend. Mich hätte es genervt
Braucht man nur hier nachlesen, mit China-USB-Clone.
Link:
Beitrag "Problem mit Xilinx Platform Cable USB clone"

Schlussendlich hat der es dann wohl doch an der eigenen Hardware 
gelegen,
seinen CPLD da mit so einem Xilinx Platform China-USB-Clone zu 
beschreiben.


Fazit:
Daher ist das immer besser sich z.B ein Digilent Spartan-3E zu 
kaufen,damit hat man ein Kit, den man noch via JTAG erweitern kann, um
z.B die externen CPLD damit zu beschreiben.


Wenn man alles zusammenrechnet ist das Digilent Board ein sichere
Sache gerade für den Anfänger.
##################################################################
Anhang: Bilder
für mein JTAG Anbau für CPLDs mit Spartan-3E.
------------
Gruss Holger.

von Holger H. (holger-h-hennef) Benutzerseite


Lesenswert?

Hier noch ein Bild-Link von dem Spartan-Board
Link:
http://www.mikrocontroller.net/attachment/203004/IMG_6396.jpg
Gruss Holger.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.