Forum: FPGA, VHDL & Co. anfänger mit altera board


von Juergen B. (juergen_b347)


Angehängte Dateien:

Lesenswert?

Ich bin totaler anfänger mit FPGA woll jedoch mit hilfe eines
https://www.amazon.de/diymore-Cyslonell-EP2C5T144-Systementwicklungsboard-Development/dp/B01NCEJ8YI/ref=sr_1_1?__mk_de_DE=%C3%85M%C3%85%C5%BD%C3%95%C3%91&keywords=altera&qid=1572295579&s=ce-de&sr=1-1

einen 10 bin multiplier bauen.

<img src 
="https://www.amazon.de/diymore-Cyslonell-EP2C5T144-Systementwicklungsboard-Development/dp/B01NCEJ8YI/ref=sr_1_1?__mk_de_DE=%C3%85M%C3%85%C5%BD%C3%95%C3%91&keywords=altera&qid=1572295579&s=ce-de&sr=1-1">;

Ich weiss, das ist vielleich too much für den Anfang, wenn ich ein 1 
oder 2 bit VA hinkriege freu ich mich schon.
Ich habe allerdings keine Ahnung, was ich das alles brauche bei amazon 
werden Zusatzkabel angeboten und usb blaster.
Wie geschieht denn der input und output, ist da ein Programm dabei?
ich sehe da mind. 4 Riesen steckleisten und 2 10 polige.
zunaechst würden mir blinkende LEDs reichen.
Danke

: Verschoben durch Moderator
von Gretel (Gast)


Lesenswert?

> usb blaster

[x]

> 4 Riesen steckleisten

Da liegen im wesentlichen die Pins des FPGA sowie einige Spannungen 
drauf.

Zum Blinken hat das Bord 3 LED.
Zum Eingeben einen Taster.

Ende Gelände.

Für 2 x 10 Bit Eingabe wirst du also noch DIP-Schalter,
Pull-Up-Widerstände (10k), Steckbrett und einen Sack voll
Kabel brauchen.
Für 10 Bit Ausgabe dann 10 LED nebst Vorwiderstand (1k).

Alternativ, viel stabiler und besser:
4 passende Buchsenleisten auf einer doppelseitigen Lochrasterkarte.
Genauso die DIP-Schalter und LEDs da auch mit drauf.
Die Schalter und LEDs vllt ebenfalls auf Buchsenleisten führen.
Dann kannst du die auch mal abtrennen und/oder umverdrahten.
Und: Die Lochrasterkarte nicht zu klein wählen.

Für ADC, DAC, VGA...

von Gretel (Gast)


Lesenswert?

Für 10 Bit mal 10 Bit braucht man natürlich 20 Bits zur Ausgabe.

Also 20 LEDs.

Als einfache (Ergänzungs-)Übungsaufgabe kann man besser eine
4 oder 8 7-Segment-Anzeige benutzen, und mit dem FPGA ansteuern.

von Juergen B. (juergen_b347)


Lesenswert?

Ja Danke!

Mit einem Altera Board braucht man ja "nur" genug halfadder und 
fulladder HA oder FA zu implementieren, aber ich hab so was noch nie 
gemacht jemand hier?
Von Ardonius gibt es ja so ein Intro set mit viel Kabeln, ist das besser 
für Anfänger?

Man könnte auch ein 4xBit auf 8 bit Multiplier schreiben, das höchste 
Ergebnis wäre 1111 x 1111 - 255 = 11011001 und dann die sog. karazuba 
methode zum kaskadieren nutzen.
Man bräuchte noch shl 4 und shl 8 "Gatter" UND mehrere 8 Bit VA.
siehe
https://de.wikipedia.org/wiki/Karazuba-Algorithmus

Jedoch hab ich keine Ahnung welche hardware ich da nehme. Der o.a. 
altera ist zu klein.
Man kann nur Dip schalter für den Input benutzen.
Und ich brauche einen input und output zum Komputer.

Altera max II?

von Andreas R. (daybyter)


Lesenswert?

Hallo!

Wir basteln gerade an einem Shield für dieses Board. Vga out und PS/2 
Keyboard Eingang haben wir am Laufen. Evtl interessiert Dich das.

von Juergen B. (juergen_b347)


Lesenswert?

Ja sehr!!
Bitte mal mail vielleicht mit Bildern an juergen006@gmx.net
Betreff: altera damit ich es nicht übersehe.
Dann können wir auch mal telefonieren oder whatsapp.
Was kann das shield oder was soll es mal können?
J.

von Gretel (Gast)


Lesenswert?

> https://de.wikipedia.org/wiki/Karazuba-Algorithmus

Du solltest am Anfang vielleicht erstmal kleinere Brötchen backen.

Und dir z.B. Ein- und Ausgabe per RS232 basteln wenn dir
DIP-Schalter und LED zu frugal sind.
Dann verstehst du evtl. auch wie so ein FPGA tickt.
Da bist du glaub ich momentan nämlich eher auf einem Holzweg.

von foobar (Gast)


Lesenswert?

> Man könnte auch ein 4xBit auf 8 bit Multiplier schreiben, das höchste
> Ergebnis wäre 1111 x 1111 - 255 = 11011001 und dann die sog. karazuba
> methode zum kaskadieren nutzen.
>...
> Jedoch hab ich keine Ahnung welche hardware ich da nehme. Der o.a.
> altera ist zu klein.

Ehem, nein - insb hat der bereits nen Dutzend 18x18-Multiplier 
eingebaut.

Troll?

von Andreas R. (daybyter)


Lesenswert?

Juergen B. schrieb:
> Ja sehr!!
> Bitte mal mail vielleicht mit Bildern an juergen006@gmx.net
> Betreff: altera damit ich es nicht übersehe.
> Dann können wir auch mal telefonieren oder whatsapp.
> Was kann das shield oder was soll es mal können?
> J.

Wir testen die Funktionen einzeln auf selbst gebastelten Prototypen 
Boards.
Bisher geht vga out und PS/2 in. Stereo Sound out. An die SD Karte 
können wir
die ersten Kommandos schicken. 512kb Ram sollen noch auf den Shield. 
Auch
ein UART Anschluss.
Insgesamt arbeiten wir an 3 CPUs. Jeder Mitstreiter hat da seine 
Vorlieben.
Ein Kollege hat eine RISC V CPU am Laufen. Der nächste Kollege eine 
eigene
32 Bit CPU. Ich hab eine 32 Bit zpu implementiert.
Wir haben auch ein kicad Projekt, welches recht weit ist.

Such auch mal nach Grant Searle Multicomp. Ist auch für dieses Board.

Edit: das ist z.B. so ein Prototyp:

https://m.imgur.com/a/E8h6NLE

: Bearbeitet durch User
von Markus F. (mfro)


Lesenswert?

Beitrag "zu wenig LED's/Anzeigen auf dem Altera-/Intel-Board?"

Damit kannst Du auch einen 100 Bit-Addierer aufbauen und so viele Knöpfe 
haben, wie Du willst (und trotzdem das günstige/billige Board benutzen).

von Juergen B. (juergen_b347)


Angehängte Dateien:

Lesenswert?

das find ich toll! Am interessantesten finde ich einen Risc Prozessor, 
der eben nur ein reduced intruction set verfügt.
Welche Instructions erlaubt sind, kann ja der Erbauer selber bestimmen.
Wäre das möglich eine grobe skizze zu erhalten?
Auf welchem board?
Ich habe mich mal mit Implemantationen einer 64 durch 32 bit division 
auf einer Intel CPU beschäftigt, da sieht man wie der Gnu CC compiler 
das auf einfache Operationen runterbricht.
Man kann alle Grunrechenarten auf and, nand, or, xor, shlr, rrc 
zurückführen.

Für den Anfang Anfang bin ich schon glücklich, wenn ich ein 4 x 4 
multipiler hinkriege.
Wobei ich die Daten erstmal nur mit Dip schaltern, dann über Ps2 tastaur 
eingebe, Aufbau siehe Bild.
Erster Schritt: Output über LEDs an flip Flops später über USB.

Wenn ich FPGA richtig verstehe kann man so etwas in einen circuit 
brennen/programmieren.
Oder zuerst wirklich mit Einzelgattern auf einem Lochboard umsetzen?
Ich überlege ob ich dieses Ardoino ELEGOO UNO R3 Ultimate Starter Kit 
Kompatibel mit Arduino IDE mir zulege?
ich kenne noch die TTL Zeit und KV-Diagramme kann ich auch erstellen
Danke
.

: Bearbeitet durch User
von Andreas R. (daybyter)


Lesenswert?

Hab Dir ne Mail geschickt.

von Juergen B. (juergen_b347)


Lesenswert?

foobar schrieb:
> Ehem, nein - insb hat der bereits nen Dutzend 18x18-Multiplier
> eingebaut.


Pardon hab ich überlesen welches board hat nen Dutzend 18x18-Multiplier?
Was heisst insb?
Thx

von TriHexagon (Gast)


Lesenswert?

Juergen B. schrieb:
> Ich bin totaler anfänger mit FPGA woll jedoch mit hilfe eines
> 
https://www.amazon.de/diymore-Cyslonell-EP2C5T144-Systementwicklungsboard-Development/dp/B01NCEJ8YI/ref=sr_1_1?__mk_de_DE=%C3%85M%C3%85%C5%BD%C3%95%C3%91&keywords=altera&qid=1572295579&s=ce-de&sr=1-1

Das von dir erwähnte Board hat einen Cyclone II EP2C5T144. Nach der 
Intel/Altera Dokumentation haben die Cyclone II FPGAs "embedded 
multipliers". Hier nachzulesen:
https://www.intel.com/content/www/us/en/programmable/products/fpga/cyclone-series/cyclone-ii/support.html#Embedded_Multipliers_Type

> Embedded Multipliers
>
> What type of embedded multipliers do Cyclone II FPGAs have?
>
> Cyclone II FPGAs offer up to 150 embedded 18 x 18 multipliers capable of running 
at 250 MHz. The embedded multipliers can also be configured as two 9 x 9 
multipliers, offering up to 300 9x9 multipliers. These multipliers are capable of 
efficiently implementing multiplication operations commonly found in digital 
signal processing (DSP) applications. Embedded multipliers in Cyclone II FPGAs can 
boost overall system performance and decrease system costs for cost-sensitive DSP 
applications.

Wie viele Multiplizierer in deinem spezifischen FPGA drin sind, musst du 
nachschauen.

Tipp: mach dich mit deiner Hardware vertraut.

von Gretel (Gast)


Lesenswert?

https://www.ebay.de/itm/111971844800

Das ist genau das richtige für dich. Das hat schon Schalterchen und 
LEDs.

Für deine paar Multiplizierer reicht auch der alte Cyclone I dicke hin.

von Rolf S. (audiorolf)


Lesenswert?

Da hat es aber schon Besseres gegeben. Ich erinnere mich an ein Angebot 
von vor 4 Jahren für ein CII mit mehr Platz, welches noch VGA und einen 
Anschluss f+r LCD hatte. Auch hatte es "mehr Schalterchen". Preis 39,-

Ich würde zu etwas richtigem raten.
Cyclone IV z.b.

In die kleinen FPGAs bekommt man praktisch nichts hinein und ärgert 
sich.

von Gretel (Gast)


Lesenswert?

> Ich würde zu etwas richtigem raten.
> Cyclone IV z.b.

Etwas richtiges wäre ein aktueller Arria oder ein Virtex.
Die Cyclone IV sind zum Teil nur umgetaufte Cyclone III.

> schon Besseres ... von vor 4 Jahren

Wenn man es nicht heute kaufen kann ist das Bessere nichts Wert.

Der TO hat mit FPGA erkennbar keine praktische Erfahrung.
Bis er einen C1T3 voll bekommt, werden Monate wenn nicht gar
Jahre vergehen.

von Gretel (Gast)


Lesenswert?

> In die kleinen FPGAs bekommt man praktisch nichts hinein und ärgert
> sich.

Ein NEO430 passt z.B. vorzüglich in einen Cyclone I (C1T3)...
Allenfalls der (On-Bord-)Speicher ist ein wenig knapp.

von Juergen B. (juergen_b347)


Lesenswert?

Für den Anfang würde ich so etwas realisieren wollen:

4 bit Volladdierer/subtrahierer

https://www.youtube.com/watch?v=iIA7SU2v-QM

mit einer 7 segment Ausgaben also 3 davon .
Dafür ist der FPGA wohl oversized.
Ich nehme wohl an, dass 4 bit auf 7 segment units in meinem o.a. 
genannten altera fest eingebaut sind. man sieht ja 4 solche auf 
https://www.ebay.de/itm/111971844800 aber nicht auf 
https://www.amazon.de/diymore-Cyslonell-EP2C5T144-Systementwicklungsboard-Development/dp/B01NCEJ8YI/
Wahrscheinlich sind bei beiden 4 Bit multiplier und sogar 9 x 9 bit 
multiplier, wie oben erwähnt, schon in dem Cyclon 2 oder 3 drin.
Aber eben zu wissen wie verkabel ich das alles?
Bei dem altera in amazon sind ja keine lochboards und 7 segment anzeigen 
etc. dabei.
Bei dem Ardonius starter kit der ja schon eine art richtiger Computer 
ist , sind alle Kabel dabei.
Leider gibts hier (Braunschweig) keine Läden für Kleinkram-elektronik 
mehr zu finden, wie früher Völkner oder Konrad elektronik...
Also ich brauch so n tip wie: Kauf DAS  und du hast alles da für den 
Anfang benötigst:) Oder jemand hat noch aus seiner Anfängerzeit was 
gebrauchtes?
merci

: Bearbeitet durch User
von chris (Gast)


Lesenswert?

>Wahrscheinlich sind bei beiden 4 Bit multiplier und sogar 9 x 9 bit
>multiplier, wie oben erwähnt, schon in dem Cyclon 2 oder 3 drin.

Ich nehme an, dass du für deine 7-Segmentanzeigen keine 
High-Speed-Multiplikationen benötigst.
In dem Fall ist das Prinzip "bit sclicing" gut geeignet, um LUTs zu 
sparen:

Beitrag "Re: VHDL Grundlagen : Rechnen"

von Christoph Z. (christophz)


Lesenswert?

chris schrieb:
> In dem Fall ist das Prinzip "bit sclicing" gut geeignet, um LUTs zu
> sparen:

Überfordere den armen Anfänger doch nicht gleich. Der soll mal alle LUTs 
brauchen, die es für eine simple Implementation benötigt. Rest erst 
später.

Juergen B. schrieb:
> Ich nehme wohl an, dass 4 bit auf 7 segment units in meinem o.a.
> genannten altera fest eingebaut sind.

Nein, binär auf 7-segment Decoder gibt es nicht fix eingebaut in FPGAs. 
Die darf man selber schnell zusammenbauen und sind im Vergleich zu den 
verfügbaren Ressourcen winzig.

Z. B.: http://www.lothar-miller.de/s9y/categories/44-BCD-Umwandlung

Typischerweise sind auch nicht alle 4 Anzeigen parallel zum FPGA geführt 
sondern Segment a von allen 4 Anzeigen sind miteinander verbunden und 
gehen auf einen einzelnen FPGA Pin (das gleiche für die Segmente b bis g 
und Punk). Die Common-Anode (oder Common-Cathode) einer einzelnen 
Anzeige wird per Transistor vom FPGA geschaltet. Du musst also ganz 
schnell (> 72 Hz) zwischen den einzelnen Anzeigen umschalten, damit das 
menschliche Auge meint, das alle 4 Anzeigen gleichzeitig angesteuert 
werden.

von Juergen B. (juergen_b347)


Lesenswert?

Wusste nicht das 7- Segmentanzeigen so schwierig zu steuern sind.
Gab es nicht in TTL logik einen BCD  zu 7- Segment decoder chip?
J.

von Christoph Z. (christophz)


Lesenswert?

Juergen B. schrieb:
> Gab es nicht in TTL logik einen BCD  zu 7- Segment decoder chip?

Ja. Aber jetzt hast du ja den FPGA dafür. Wilkommen in der modernen Zeit 
:-)

Das gibt dir natürlich alle Flexibilität, vielleicht möchtest du das 
Display dimmen, Buchstaben oder Muster anzeigen, Optische Kommunikation 
mit 8 Amplitudenstufen (Sorry, bei mir drehen grad die wilden Ideen ab 
:-)) Das geht mit dem TTL decoder halt alles nicht.

Juergen B. schrieb:
> Wusste nicht das 7- Segmentanzeigen so schwierig zu steuern sind.

Beginn einfach mal mit einer Anzeige und nicht gleich allen vier. Dann 
bleibt es erst mal ganz einfach.

: Bearbeitet durch User
von Andreas R. (daybyter)


Lesenswert?

Sooo schlimm ist das doch nicht...


https://www.lusiardi.de/fpga-7-segmentanzeige.html

von Larry (Gast)


Lesenswert?

Beitrag "Cyclone II FPGA Starter Development Kit"

Das sollte viel Platz fuer tausende Halbadder und nicht ganz
so viele Multiplizierer haben.

Also spute dich!

von xyz (Gast)


Lesenswert?

Larry schrieb:
> Beitrag "Cyclone II FPGA Starter Development Kit"
>
> Das sollte viel Platz fuer tausende Halbadder und nicht ganz
> so viele Multiplizierer haben.

Beitrag "Re: Welches FPGA Evalboard"

von Michel (Gast)


Lesenswert?

Hier gibt es gerade ein Angebot bei IBÄI
"FPGA Entwicklungsboard Altera DE1 Cyclone II von Terasic "
Kosten 61,-

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.