Forum: FPGA, VHDL & Co. Welches FPGA Evalboard


von Martinez (Gast)


Lesenswert?

Hi,
ich möchte ich mich FPGAs beschäftigen und suche ein günstiges 
Evalboard.
Welches Evalboard benutzt ihr? Welches könnt ich empfehlen?
Welche software benutzt ihr für VHDL?

von ElKo (Gast)


Lesenswert?

Solange deine Anforderung nur ist "Hat ein FPGA drauf", nimm irgendeins 
und leg los. Bevorzugt von den großen Playern Intel/Altera oder Xilinx.
https://www.mikrocontroller.net/articles/Liste_von_FPGA_Eval_boards

Wenn du konkrete Pläne hast, was du auf dem FPGA umsetzen möchtest, kann 
man eine differenziertere Antwort geben...

Ansonsten wurde das Thema auch schon sehr oft im Forum hier 
nachgefragt...

von S. R. (svenska)


Lesenswert?

Und wenn du kein Problem mit etwas Wartezeit hast, kannst du dich auch 
bei den üblichen chinesischen Verdächtigen umschauen. Stelle aber 
sicher, dass du einen Programmer mit im Paket hast oder bestelle gleich 
einen mit, sonst stehst du doof da.

Viele China-Boards für Xilinx kommen mit älteren FPGAs (Spartan3E oder 
Spartan6), die Xilinx Vivado nicht unterstützt werden. Zum Lernen spielt 
das aber keine große Rolle - Xilinx ISE gibt es auch und funktioniert 
gut.

von Neverever (Gast)


Lesenswert?

Sehr empfehlenswert (natürlich weil ich damit eingestiegen bin ;) ) ist 
ein CycloneIV-Board mit Altera/Intel FPGA.
Der CycloneIV wird von der aktuellen Quartus-Software unterstütz und Du 
musst Dich nicht mit altem Mist rumschlagen und später auch nicht 
umsteigen, wenn Du mal größere und neue FPGAs brauchst.
Als Board bietet sich folgendes an:
https://www.aliexpress.com/item/FPGA-development-board-ALTERA-Cyclone-IV-EP4CE-four-generations-SOPC-NIOSII-send-send-remote-control-to/32691369830.html

Damit kannst Du eine ganze Menge machen, unter anderem einen 
RISC-V-Computer mit Tastatur, Maus und VGA-Monitor-Ausgabe bauen.
Damit wirst Du jedenfalls lange Zeit Spass haben.

Einziger Wermutstropfen: Die Lieferung dauert rund zwei Wochen.

von Klakx (Gast)


Lesenswert?

Ein Intel De-Nano Board ist auch noch günstig.

Ich mag eigentlich Xilinx Vivado, hier wäre vielleicht noch ein 
MiniZed-Board noch eine günstige Idee. Ist jedoch schon ein Zynq.

von Dussel (Gast)


Lesenswert?

Von Terasic gibt es einiges. Zum Beispiel hat das 
https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=836 
viele zum Basteln geeignete Schnittstellen. Für Studenten kostet das nur 
175€.

von Martin O. (ossi-2)


Lesenswert?

Billig und spartanisch MAX1000 und CYC1000 von Trenz/Arrow.
Ich habe damit viel machen können.

von Elias K. (elik)


Lesenswert?

Dussel schrieb:
> Von Terasic gibt es einiges. Zum Beispiel hat das
> 
https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=836

Terasic-Boards kann ich ebenfalls wärmstens empfehlen. Allerdings für 
einen Anfänger nicht das in dem Link genannte. Das hat einen SoC drauf, 
also Dualcore Arm-Prozessor + FPGA. Das ist ein zusätzlicher 
Komplexitätslayer, der einem den Anfang unnötig schwer macht.

(Ich spreche zumindest ein klein wenig aus Erfahrung, da ich das DE 0 
Nano und das DE 0 Nano SoC habe.)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ich möchte gerade für Anfänger noch das Lattice MachXO2 ins Rennen 
werfen. Auch da gibt EVAL-Boards für überschaubares Geld:
https://www.mouser.de/ProductDetail/Lattice/LCMXO2-7000HE-B-EVN?qs=sGAEpiMZZMuqBwn8WqcFUnUht%252bSnskdDFIK8B6ljGZY%3d
https://www.mouser.de/ProductDetail/Lattice/LCMXO2-1200ZE-P1-EVN?qs=sGAEpiMZZMuqBwn8WqcFUpcFzQA6pky5WamWWO%252bqaJM%3d
https://www.artekit.eu/products/devboards/ak-machxo2-7000/
Und wer damit dann "fertig" ist, kann sich das nächstgrößere selber 
aussuchen...

Elias K. schrieb:
> Terasic-Boards kann ich ebenfalls wärmstens empfehlen.
Bei solchen umfassenden "All-In-One" Boards sollte man sich aber bewusst 
sein, dass man auch in der simpelsten Anwendung wie z.B. der blinkenden 
LED einige zusätzlich FPGA-Pins mit definierten Signalen versorgen muss, 
damit nicht externe Bauteile sich durch floatende Pegel irgendwie 
angesprochen fühlen, dadurch böse Buskollisionen auftreten und die 
Bauteile Schaden nehmen.

von Thomas W. (diddl)


Lesenswert?

Ich bin gerade sehr angetan von dem Mimas 2 board von Numato.

https://numato.com/product/mimas-v2-spartan-6-fpga-development-board-with-ddr-sdram


Es ist günstig und hat alles drauf was das Herz begehrt:

- FPGA: Spartan XC6SLX9 in CSG324 package
- DDR Memory: 166MHz 512Mb LPDDR (MT46H32M16LF/W949D6CBHX6E)
- Flash memory: 16 Mb SPI flash memory (M25P16)
- USB 2.0 interface for On-board flash programming
- FPGA configuration via JTAG and USB
- VGA Connector
- Stereo Jack
- Micro SD Card Adapter

von Elias K. (elik)


Lesenswert?

Lothar M. schrieb:
> Bei solchen umfassenden "All-In-One" Boards sollte man sich aber bewusst
> sein, dass man auch in der simpelsten Anwendung wie z.B. der blinkenden
> LED einige zusätzlich FPGA-Pins mit definierten Signalen versorgen muss,
> ...

Da hast du natürlich völlig recht. Das ist aber das schöne bei Terasic: 
Da gibt es ein Projekt "Golden Top" mit dazu, auf dem man aufbauen kann. 
In dem sind alle IOs passend definiert und mit Namen versehen. Das hilft 
enorm, da ich mich um das Pinning schon nicht mehr kümmern muss.

So ein komplett nackiges Board ist auch gut. Für den blutigen Anfänger 
würde ich es trotzdem nicht empfehlen. Beispiel: Er will eine 
7-Semgent-Anzeige dazu habe. Wenn die Anzeige nichts zeigt, liegt es an 
seiner Beschreibung, oder an der Schaltung? Schon wieder eine Baustelle 
mehr. Und manches ist mit losen Drähten schwierig nachzurüsten oder 
endet in Drahtverhau. zB. diverse RAMs, Audio-Codec, VGA, ...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Elias K. schrieb:
> Er will eine 7-Semgent-Anzeige dazu habe. Wenn die Anzeige nichts zeigt,
> liegt es an seiner Beschreibung, oder an der Schaltung?
Das ist das einzige Argument, warum ich mit meinem Vorschlag so lange 
gewartet habe. Aber tatsächlich beschert uns die "Generation Arduino" so 
viele Fertigmodule aller Art, dass dieses Argument an Gewicht verliert.

> Und manches ist mit losen Drähten schwierig nachzurüsten oder endet in
> Drahtverhau. zB. diverse RAMs, Audio-Codec, VGA, ...
Das ist dann aber auch der zweite Schritt, bei dem man dann schon recht 
genau weiß, was man braucht und will und kann. Und man darf in seinem 
Leben ja nicht nur ein einziges Board kaufen...  ;-)

von FPGA User (Gast)


Lesenswert?

Definitiv das MAX1000 Board. Gut, günstig und jede Menge 
Einsteiger-Software + Coole Demos dazu.
Vorallem ist da auch der Debugger mit drauf, was die Sache viel 
interessanter macht, um alle Signale im FPGA zu erfassen.
:-)

von Lotta  . (mercedes)


Lesenswert?

Ja,
was ist denn nun das Beste, für ne
Anfänger - Maekse?

Xilinx oder Altera?

mfg

von lingen2 (Gast)


Lesenswert?

~Mercedes~  . schrieb:
> Xilinx oder Altera?

Falls du nicht durchs Studium gezwungen bist, die Toolchain eines 
Herstellers zu nutzen ist das für den Einstieg egal. Der Design-Flow ist 
bei beiden fast gleich und wenn du dich in eine SW eingearbeitet hast, 
kannst du später immer noch relativ leicht wechseln.
Falls du ein Xilinx-Board kaufst, sollte da aber möglichst schon ein 
FPGA drauf sein, der von Vivado unterstützt wird, das ist die neue 
Entwicklungsumgebung von Xilinx.

Das hier vorgeschlagene MAX1000 (mit einem Altera/Intel Max10) ist aber 
IMHO schon ein sehr guter Einstieg. Genug Peripherie zum Spielen und 
Lernen drauf aber so klein und billig, dass man es auch mal direkt in 
ein fertiges Projekt einbauen kann. Und Dokumentation sowie 
Beispielprojekte sind auch dabei.

von Einsteiger (Gast)


Lesenswert?

Hab mir das MAX1000 besorgt und kann nur sagen, MEGA COOL!
Auf der Trenz Homepage ist ein Starter Guide verfügbar, welcher perfekt 
war für mich als Unerfahrenen.
Mit den weiteren Demos bin ich innerhalb eines Tages schon auf einem 
super Wissenstand...Wenn ich das mal so behaupten darf.
Und es es gibt noch vieles mehr zu entdecken auf dem Board, die nächsten 
Tage sind gesichert.

MAX1000....klare Empfehlung!

von lol (Gast)


Lesenswert?

> bin ich innerhalb eines Tages schon auf einem super Wissenstand

Nach einem Tag weisst du höchstens, dass bei VHDL ans Ende einer
Zeile gelegentlich ein Semikolon gehört.
Aber auch nicht immer.

Der MAX1000 ist übrigens völlig überbewertet.

von La Nef des fous - Pilote (Gast)


Lesenswert?

Einsteiger schrieb:
> Mit den weiteren Demos bin ich innerhalb eines Tages schon auf einem
> super Wissenstand...Wenn ich das mal so behaupten darf.

Klar, mit einem Tag 'demo-runden' auf dem Microsoft Flugsimulator hat 
man auch genug Wissensstand um einen JumboJet ins gewünschte Ziel zu 
lenken - wie vor 17 Jahren vom Hamburger Bummelstudent Atta 
eindrucksvoll vorgeführt wurde....

von ... (Gast)


Lesenswert?

> Microsoft Flugsimulator

Ja, auf dem FS2 mit dem Learjet durch die Luftpylonen.
Mit der Cessna war das ja langweilig.

Ich muesst den mal wieder rauskramen.

von Donni D. (Gast)


Lesenswert?

lol schrieb:
> Der MAX1000 ist übrigens völlig überbewertet.

Wieso das? Hat einen neuen FPGA Chip drauf, bisschen zusätzliche 
Hardware zum Spielen. Für den Einstieg perfekt. Was empfiehlst du denn?

von Strubi (Gast)


Lesenswert?

MachXO2 macht für Anfänger Sinn. Ansonsten: Bin es nur ich oder wird das 
trenzsche Influencing für die MAX1000-Schwarte langsam etwas penetrant?

von Helmut S. (helmuts)


Lesenswert?

Strubi schrieb:
> MachXO2 macht für Anfänger Sinn. Ansonsten: Bin es nur ich oder wird das
> trenzsche Influencing für die MAX1000-Schwarte langsam etwas penetrant?

Xilinx-Fans gehen da halt leer aus, weil Xilinx lieber 
Komplexes/Hochpreisiges verkauft. Siehe die aktuelle 7er Serie.
Für einfachere Dinge haben MACHX02 und MAX1000 echt Vorteile.

von Donni D. (Gast)


Lesenswert?

Strubi schrieb:
> MachXO2 macht für Anfänger Sinn. Ansonsten: Bin es nur ich oder wird das
> trenzsche Influencing für die MAX1000-Schwarte langsam etwas penetrant?

Und warum macht das Ding mehr Sinn als ein MAX1000 oder CYC1000?
Versteh mich nicht falsch, das MachXO2 ist sicher auch sehr gut, aber 
warum sollten es die anderen nicht sein?

von berndl (Gast)


Lesenswert?

~Mercedes~  . schrieb:
> Ja,
> was ist denn nun das Beste, für ne
> Anfänger - Maekse?
>
> Xilinx oder Altera?
>
> mfg

Fuer Xilinx boards waeren die Sachen von Digilent eine preiswerte 
Moeglichkeit. Gibt da z.B. guenstige Sachen mit Spartan6 oder 7, der 
Programmer via USB ist schon drauf.
Und wenn ein paar PMOD drauf sind, ist's mit der Peripherie auch ohne 
Gebastel sehr einfach.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

berndl schrieb:
> Fuer Xilinx boards waeren die Sachen von Digilent eine preiswerte
> Moeglichkeit.

Bei Ali Express gibt es auch ein paar Spartan 6 Boards die praktisch 
nichts kosten. Die haben dann jedoch nur Stiftleisten drauf um an die 
IOs zu kommen. Ist aber ganz charmant wenn man nicht bereit ist fuer 
Onboard Features die man eh nicht nutzen moechte entsprechend Aufpreis 
zu bezahlen.

von La Nef des fous - Pilote (Gast)


Lesenswert?

Tobias B. schrieb:
> Die haben dann jedoch nur Stiftleisten drauf um an die
> IOs zu kommen. Ist aber ganz charmant wenn man nicht bereit ist fuer
> Onboard Features die man eh nicht nutzen moechte entsprechend Aufpreis
> zu bezahlen.

Dafür zahlt man dann für Steckbrett, patchkabel und anderen Murks um 
dann seine Wunschperipherie halbwegs an laufen zu bringen, ganz zu 
schweigen von debugequipment und Nerven um den Wackelkontakt zu 
lokalisieren. Oder Lötequipment ... also mit Minimalbudget wird das nix 
mit FPGA.

von Gustl B. (-gb-)


Lesenswert?

Trenz hat auch was mit Lattice:
https://shop.trenz-electronic.de/de/TEL0001-02-LXO2000-mit-Lattice-XO2-4000-On-Board-USB/JTAG-2-5-x-6-15-cm?c=491

Mit SmartFusion:
https://shop.trenz-electronic.de/de/TEM0001-01A-010C-SMF2000-FPGA-Modul-mit-Microsemi-SmartFusion2-8-MByte-SDRAM?c=485

Mit Gowin (was auch immer):
https://shop.trenz-electronic.de/de/TEC0117-01-FPGA-Modul-mit-GOWIN-LittleBee-und-8-MByte-internem-SDRAM?c=187

Strubi schrieb:
> Bin es nur ich oder wird das
> trenzsche Influencing für die MAX1000-Schwarte langsam etwas penetrant?

Welchen Hersteller empfiehlst du denn?
Die haben ein Forum und antworten, stellen die Schaltpläne ins Netz und 
haben schon Projekte zum Runterladen. Die Preise sind auch gut.

von FPGA zum Spass (Gast)


Lesenswert?

Kann die Terasic Board uneingeschränkt empfehlen.

Qualtitativ sehr gut, sehr aufgeräumt und sehr verbreitet.

Zu allen "beliebten" Boards findet man für fast jede Peripherie jemanden 
der das schonmal gemacht hat als Einstieg.

Zudem hat Terasic selbst für jedes Bauteil (Verilog) Code.

Mein DE1 hat mich jetzt 9 Jahre begleitet ohne einen Makel.
Und das obwohl ich anfangs die von Lothar empfohlenen "Pegel" weder 
kannte noch gesetzt habe.

Bin jetzt auf ein De2-115 gewechselt und dank der Doku lief alles was 
vorher lief(SDRAM, SRAM, PS2, VGA, RS232 und auch die eigene Logik) 
innerhalb von 2 Tagen wieder.


Ja, die Boards sind teurer und lohnen eigentlich nur wenn man sich 
langfristig beschäftigen will.
Mittlerweile gibts aber auch günstigere wie das DE-0.

von Josef G. (bome) Benutzerseite


Lesenswert?

FPGA zum Spass schrieb im Beitrag #5822533:
> Kann die Terasic Board uneingeschränkt empfehlen.

DE1 (mit CycloneII) und DE0 (mit CycloneIII) kann ich auch
empfehlen. Gerade nachgeschaut auf der Terasic-Website:
Die Boards scheinen sogar noch neu erhältlich zu sein.

Etwas neuerer und sogar noch billiger ist das DE0-nano
(mit CycloneIV). Es hat aber kaum Peripherie, man muss
alles selber basteln. Unangenehm ist die Stromversorgung,
es wird über USB vom PC versorgt. Wenn man zusätzliche
Peripherie anschließen will und dabei den USB-Anschluss
nicht überlasten will, muss man zusätzlich ein Netzgerät
mit ca. 5.3V parallel anschließen, beide Versorgungen
sind nur über Dioden entkoppelt.

Alle drei Boards haben einfache SDRAMs (also keine DDR),
die in der Handhabung nicht ganz so ekelhaft sind wie
die DDR-RAMs der mir bekannten Xilinx-Boards. Für mein
Projekt konnte ich die SDRAM-Treiber selber schreiben.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

La Nef des fous - Pilote schrieb:
> Tobias B. schrieb:
>> Die haben dann jedoch nur Stiftleisten drauf um an die
>> IOs zu kommen. Ist aber ganz charmant wenn man nicht bereit ist fuer
>> Onboard Features die man eh nicht nutzen moechte entsprechend Aufpreis
>> zu bezahlen.
>
> Dafür zahlt man dann für Steckbrett, patchkabel und anderen Murks um
> dann seine Wunschperipherie halbwegs an laufen zu bringen, ganz zu
> schweigen von debugequipment und Nerven um den Wackelkontakt zu
> lokalisieren. Oder Lötequipment ... also mit Minimalbudget wird das nix
> mit FPGA.

Genau, deshalb schrieb ich auch, dass das charmant ist, wenn man nicht 
mehr braucht. Haengt halt alles immer von der Anwendung ab und ich finde 
es toll die Wahl zu haben.

Die Argumentation wankt auch insofern, dass ich in der Regel bei jedem 
Board loeten und anpassen muss, somit sind diese zuaetzlichen Kosten 
unabhaengig vom Board. Wenn ich ein Board brauche, dass exakt meine 
Anforderungen ohne Loeten, Machen und Tun erfuellt, muss ich mir eins 
selbst machen. Und dann gehts richtig ins Geld. ;-)

von Holger (Gast)


Lesenswert?

FPGA zum Spass schrieb im Beitrag #5822533:
> Ja, die Boards sind teurer und lohnen eigentlich nur wenn man sich
> langfristig beschäftigen will.
> Mittlerweile gibts aber auch günstigere wie das DE-0.

Das ist ja super.
Die Altera Ref-Desigs Project sind ohne Fehler.

Bei Lattice Pico Board mit Mach Xo2 ist das halt nicht so, da steigt der 
Fitter am Schluss aus.


Danke!
 Gruss Holger.

von Holger (Gast)


Angehängte Dateien:

Lesenswert?

Hier noch ein Bild dazu.

von Holger (Gast)


Angehängte Dateien:

Lesenswert?

Hier noch der Ref für das Lattice Pico Board.

Der Fitter geht nicht für den Chip.
Kann ja mal einer testen.

Das einzige was geht ist der BitFile vom Hersteller.
Aber das eigene Compilat geht nicht.

CRC ist auch anders.

Gruss Holger.

von Markus F. (mfro)


Lesenswert?

Wer's günstig (um nicht zu sagen - billig) haben will, nimmt das da:

https://www.ebay.de/itm/ALTERA-FPGA-Zyklon-EP2C5T144-Minimum-System-Learning-Development-AIP/142649958697?hash=item213699a129:m:moLh6qoc8VG9NO_iSmmf87w&frcectupt=true

Drunter geht's wohl nicht.

Die Cyclone II's werden bis Quartus 13.0 unterstützt; m.E. hat Quartus 
(abgesehen von den Qsys-Erweiterungen, die für kleinere FPGAs sowieso 
nur begrenzt sinnvoll sind) mit den seither erschienenen Versionen nicht 
so sehr zugelegt, als daß das ein großer Nachteil wäre (VHDL2008 
funktioniert mit 13.0 beispielsweise mit genau den gleichen 
Einschränkungen wie die aktuelle Intel 18.1-Version).

Mit den kleinen Cyclones lassen sich durchaus anspruchsvolle Sachen 
machen. S. z.B. hier: http://searle.hostei.com/grant/Multicomp/

von Holger (Gast)


Angehängte Dateien:

Lesenswert?

Markus F. schrieb:
> Mit den kleinen Cyclones lassen sich durchaus anspruchsvolle Sachen
> machen. S. z.B. hier: http://searle.hostei.com/grant/Multicomp/

Danke für den Link.
Hier noch ein Bild von dem Board und dem Progger.

Der alte Spruch ...Feuer,Pfeife, Sanwell und ein Ref-Design mit UCF-File 
für das Pinning, mit Stromlaufplan für diese Sisyphos-Arbeit.


Gruss Holger.

von Holger (Gast)


Angehängte Dateien:

Lesenswert?

Holger schrieb:
> Hier noch ein Bild von dem Board mit den Externen Komponenten.
Hier der UART TX RX Port ist der erste Ansatz für ein Test.....für die 
State-Engine.
-----------------------------------------------------------------------

Gruss Holger.

von ... (Gast)


Lesenswert?

Leseschwaeche detected:

"Bitte das JPG-Format nur für Fotos und Scans verwenden!"

von Holger (Gast)


Lesenswert?

88 fpga projects page 2

More cool stuff...
https://www.hackster.io/projects/tags/fpga?page=2&sort=trending

Gruss Holger.

von Holger (Gast)


Lesenswert?

... schrieb:
> Leseschwaeche detected:
https://www.mikrocontroller.net/attachment/preview/411459.jpg

Zu dem Bild, noch die Daten.
######################################################################## 
###
Altera CycloneII EP2C5T144 FPGA:
•FPGA-Chip: EP2C5T144
•Betriebsspannung: 5V (3,3V und 1,2V Regler auf dem Board)
•EEPROM: Onboard 4Mbit EPCS4 -----.....____ für config Daten.
•Digitale I/O-Pins: 89
•I/O-Voltage: 3,3V
•Debugging: 3 SMD-LEDs onbaod
•Anzahl der Logikelemete: 4068
•Maximale Tektfrequenz: 300 MHz
•Oszillatorfrequenz: 50 MHz
•Abmaße: 80 mm (l) 60 mm (b)
######################################################################## 
###
Gruss Holger.

von Holger (Gast)


Lesenswert?

Martinez schrieb:
> Welches Evalboard benutzt ihr?

 XILINX Spartan-3e
Rreference designs, Stromlaufplan...ect.
https://web.archive.org/web/20090323004714/http://www.xilinx.com/products/boards/s3estarter/reference_designs.htm

Programar FLASH PROM en FPGA Spartan-3e con XILINX ISE

https://www.youtube.com/watch?v=FcOyB84PlPk

Gruss Holger.

von Atemis H. (at0)


Lesenswert?


von Christian J. (Gast)


Lesenswert?

Markus F. schrieb:
> Ebay-Artikel Nr. 142649958697
>
> Drunter geht's wohl nicht.

Hallo,

könntet ihr einem Neuling auch eine IDE für dieses Board empfehlen, wo 
möglichst alles drin ist und das noch kostenlos?

Ich möchte eigentlich nur für einen STM32 oder Arduino zusätzliches RAM 
serialisieren, ggf. ein paar 7-Sement Anzeigen usw. Und natürlich etwas 
spielen, Zaehler erzeugen usw.

VHDL gibt es ja gute Online Kurse.

Christian

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Christian J. schrieb:
> könntet ihr einem Neuling auch eine IDE für dieses Board empfehlen, wo
> möglichst alles drin ist und das noch kostenlos?

Mit empfehlen ist ziemlich schwer, man ist praktisch an die des 
Herstellers gebunden. Andernfalls kann es richtig Asche kosten.

In dem Fall ist das eine von Altera/Intel namens Quartus. Genauere 
Informationen werden wohl aber mit dem Produkt ausgeliefert, in der 
Regel ist da ein Fresszettel dabei welche Software man wo runterladen 
soll. Achtung: Darauf achten, dass es etwas offizielles ist und nicht 
ein dubioser Anbieter versucht dir einen kompromittierten Installer 
anzudrehen!

von S. R. (svenska)


Lesenswert?

Christian J. schrieb:
> könntet ihr einem Neuling auch eine IDE für dieses Board empfehlen

Es gibt genau eine IDE - die vom Hersteller.

von Josef G. (bome) Benutzerseite


Lesenswert?

Christian J. schrieb:
> Markus F. schrieb:
>> Ebay-Artikel Nr. 142649958697
>>
>> Drunter geht's wohl nicht.
>
> Hallo,
>
> könntet ihr einem Neuling auch eine IDE für dieses Board empfehlen, wo
> möglichst alles drin ist und das noch kostenlos?

Scheint ein CycloneII drauf zu sein.

Die letzte und neueste Quartus-Version dafür ist 13.0 SP1.
Die WebPack-Version ist kostenlos und lizenzfrei.

PS: Hat auch Markus F. schon im wesentlichen geschrieben.

: Bearbeitet durch User
von Christian J. (Gast)


Lesenswert?

Josef G. schrieb:
> Die letzte und neueste Quartus-Version dafür ist 13.0 SP1.

Ähm... die von 2013? Da gibt es inzwischen 19.0 usw.

von FPGA zum Spass (Gast)


Lesenswert?

Die neuesten Versionen unterstützen nicht alle (Ur-)alten FPGAs.

von Christian J. (Gast)


Lesenswert?

Ok,

gibt es mit diesem Typ auch Boards, die etwas mehr zum Spielen drauf 
haben? LEDS, 7-Segment usw? Sonst muss ich ja alles selbst dran 
bauen....

Gruss,
Christian

von Christian J. (Gast)


Lesenswert?

zb das hier:

https://www.ebay.de/itm/Altera-Cyclone-IV-FPGA-Learning-Board-EP4CE6E22C8N-and-USB-Blaster-Programmer-os/123585290737?hash=item1cc641e1f1:g:CmoAAOSwPVFcNFUr

Cyclone IV ... d.h. es ist auch eine neue Software verwendbar. Und der 
Preis passt auch...

von Christian J. (Gast)


Lesenswert?


von Markus F. (mfro)


Lesenswert?

Christian J. schrieb:
> Ok,
>
> gibt es mit diesem Typ auch Boards, die etwas mehr zum Spielen drauf
> haben? LEDS, 7-Segment usw? Sonst muss ich ja alles selbst dran
> bauen....
>
> Gruss,
> Christian

Wozu das? Das Spielgelumpe ist dir nachher (wenn Du was ernsthaftes 
machen willst) nur im Weg und verbraucht wertvolle IOs.

Wenn Du zum Einsteigen was sehen willst, mach's doch so: 
Beitrag "zu wenig LED's/Anzeigen auf dem Altera-/Intel-Board?"

von Christian J. (Gast)


Lesenswert?

Markus F. schrieb:

> Wozu das? Das Spielgelumpe ist dir nachher (wenn Du was ernsthaftes
> machen willst) nur im Weg und verbraucht wertvolle IOs

Markus,

ich bin 50 Jahre alt und habe einen anderen Beruf im Bereich der 
E-Technik als diesen (Functional Safety Expert), die Zeiten als HW/SW 
Entwickler liegen rund 15 Jahre zurück. Ernsthafte Anwendungen gibt es 
daher nicht mehr, sondern nur die Faszination Elektronik, die mich seit 
1985 treibt und immer was Neues ausprobieren lässt. Von der Röhre bis 
zum FPGA, über STM32 usw.

Das passt schon :-) Gibt auch welche mit RAM drauf aber die sind 
deutlich über 100 Euro.

VHDL: Denke mal dass architecture und entity sowas sind wie die Header 
im C File und unter begin, die Verdrahtung des Ganzen steht. mein 1 
Woche FPGA Kurs bei Xilinx liegt 17 Jahre zurück, nie gebraucht. Da war 
noch Schematic Entry angesagt, alles schön gezeichnet.

Christian

von Markus F. (mfro)


Lesenswert?

Ist deine Entscheidung, aber wäre nicht meine.

Das Cyclone IV-Board hat gerade mal ein bißchen mehr als halb so viele 
LE's wie das weniger als ein Drittel so teure Cyclone II-Board.

Ein neues Quartus ist m.E. kein Argument, das kann nichts, was das alte 
nicht auch kann (außer neuere FPGA-Typen zu unterstützen, womit Du 
nichts anfangen kannst).
(Persönliches) Alter ist schon gar kein Argument (ich z.B. liege da 
deutlich drüber).

Wenn Du VHDL lernen möchtest, vergiss' das meiste von dem, was Du über 
Programmiersprachen weisst. Das verwirrt nur.
VHDL beschreibt Hardware (stell' dir ein Malprogramm vor, das auf 
Textkommandos basierend einen Schaltplan zeichnet, damit wärst Du VHDL 
wesentlich näher als ein C-Programm).

von Christian J. (Gast)


Lesenswert?

Markus F. schrieb:
> Wenn Du VHDL lernen möchtest, vergiss' das meiste von dem, was Du über
> Programmiersprachen weisst. Das verwirrt nur.

Ich habe UML gelernt und andere "Beschreibungssprachen", also keine 
Programm sondern Modellierung von Systemen, das wird u.a. auch in der 
Industrie verwendet, um Systeme zu simulieren bevor sie gebaut sind. Das 
schafee ich auch noch :-) LaTeX war auch nichts anderes als VHDL, damit 
habe ich mein Diplom damals "erzeugt" auf einer SUN.

von C. A. Rotwang (Gast)


Lesenswert?

Christian J. schrieb:

> Ich habe UML gelernt und andere "Beschreibungssprachen", also keine
> Programm sondern Modellierung von Systemen, das wird u.a. auch in der
> Industrie verwendet, um Systeme zu simulieren bevor sie gebaut sind. Das
> schafee ich auch noch :-) LaTeX war auch nichts anderes als VHDL, damit
> habe ich mein Diplom damals "erzeugt" auf einer SUN.

O'Gott - sag bitte, bitte das das als ein schlechter Scherz gemeint ist.

von Christian J. (Gast)


Lesenswert?

C. A. Rotwang schrieb:
> O'Gott - sag bitte, bitte das das als ein schlechter Scherz gemeint ist.

Danke, ich bin trotzdem nicht ganz blöd.

von Josef G. (bome) Benutzerseite


Lesenswert?

Christian J. schrieb:
> Ok,
>
> habe mich entschieden, genau das!
>
> 
https://www.ebay.de/itm/FPGA-Cyclone-Altera-FPGA-EP1C3T144-Learning-Board-USB-Blaster-JTAG-programmer/261935970911?hash=item3cfc99ba5f:g:eE0AAOSwLdJcbR0B
>
> Genug Blinki Blinki und der Preis passt auch !

CycloneI? Also doch alte Quartus-Software.

Christian J. schrieb:
> Ernsthafte Anwendungen gibt es
> daher nicht mehr, sondern nur die Faszination Elektronik, die mich seit
> 1985 treibt und immer was Neues ausprobieren lässt.

Vielleicht wirfst du auch mal einen Blick auf mein Projekt.
Siehe meine Benutzerseite.

von Josef G. (bome) Benutzerseite


Lesenswert?

Auch ein Anfänger-Board: Das OpenEP4CE10 von Waveshare.
https://www.waveshare.com/product/fpga-tools/altera/altera-boards/openep4ce10-c-standard.htm

Das Board ist erhältlich beim deutschen Distributor Eckstein.
Es besteht aus dem FPGA-Core-Board und einer Trägerplatine.
Das Core-Board ist für Bastler auch allein erhältlich.

Auf dem Board ist ein Cyclone IV, der sowohl vom neuesten
Quartus als auch von älteren Versionen unterstützt wird.

Hatte schon länger ein Auge auf das Board geworfen, aber erst vor
kurzem entdeckt, dass es jetzt einen deutschen Distributor gibt.

von Josef G. (bome) Benutzerseite


Lesenswert?

Josef G. schrieb:
> aber erst vor kurzem entdeckt,

Hm, die ältesten Beiträge auf mikrocontroller.net, wo die Worte
waveshare und eckstein zusammen vorkommen, sind aus dem Jahr 2016.

von Vidor 4000 (Gast)


Lesenswert?

Was haltet ihr von einem Arduino MKR Vidor 4000?

von Christoph M. (mchris)


Lesenswert?

>Was haltet ihr von einem Arduino MKR Vidor 4000?

Im Moment nicht viel. Das letzte Mal, als ich es bei jemandem gesehen 
haben, hat noch nicht mal die Software richtig funktioniert.

Ein ziemlich günstiges und leistungsfähiges FPGA-Board ist das hier:
Beitrag "XC6SLX16 Spartan 6 Entwicklungsboard"

LEDs zum Basteln kann man selbst anschließen.
Den Programmieradapter bekommt man mittlerweile auch günstig.

von Thomas W. (diddl)


Lesenswert?

Christoph M. schrieb:
> Ein ziemlich günstiges und leistungsfähiges FPGA-Board ist das hier:
> Beitrag "XC6SLX16 Spartan 6 Entwicklungsboard"

Ja das ist es ...


Vidor 4000 schrieb:
> Was haltet ihr von einem Arduino MKR Vidor 4000?

... aber leider ziemlich nackt und schwer für Anfänger.


Da hier Arduino erwähnt wurde, - der Papilio Due ist ideal für jemanden, 
der die Arduino Seite kennt und schätzt. Er wird sich sofort Zuhause 
fühlen.

Man hat sofort Erfolge. Das FPGA Wissen kommt dann nach und nach.

von Josef G. (bome) Benutzerseite


Lesenswert?

Möchte auf diesen Beitrag antworten:
Beitrag "Re: anfänger mit altera board"

aber den Thread nicht in meiner Liste der Threads mit
eigenen Beiträgen haben. Deshalb antworte ich hier:

Bei Terasic heisst es zum DE1:
Also known as Cyclone II Starter Kit
https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=13&List=Simple#Category53

Das angebotene Board ist also ein DE1.

von J. S. (engineer) Benutzerseite


Angehängte Dateien:

Lesenswert?

Ich empfehle, mit einem kleinen aber universellen bord anzufangen und 
sich die Funktion die man haben will, aus mehreren boards 
zusammenzustellen. Ich benutze für meine Zewcke auch noch gerne alte 
Eval boards mit. Fpr besondere Zwecken auch gerne einige von den 
billigen Angeboten aus der Bucht, z.B. wenn es um die grafische Eingabe 
/ Controller geht.

Mit so einem wie dem, "ALTERA Cyclone IV EP4CE6 FPGA Development Kit 
Board + USB Blaster controller" kriegt man für unter 50,- alles, um eine 
FPGA basierte Eingabemaske mit Maus zu machen, da neben VGA auch PS2 und 
RS232 vorhanden sind, was man bei den komplexeren boards so nicht mehr 
hat. Vor allem kann man so auch die "nackten" Module ohne Peripherie 
verwenden, indem man einfach die geänderten Daten aus den Interface ins 
andere baord streamt.

Aus dem analogen VGA (mit R2R) bekommt man üblicherweise locker eine 4 
Bit Auflösung in RGB, also 4096 Farben hin. Je nach Qualität der Leitung 
ist sogar analoges full HD möglich, zumindest in 30Hz. Wer das so nicht 
hinbekomt, nimmt ein 960er Format wie das 540 oder 544 von der 
Playstation. Für einen einfachen Bildschirmspeicher für 
Zeichengeneration reicht normalerweise das interne Block-RAM. Das o.g. 
board hat sogar ein externes 64Mb SDRAM.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.