Forum: FPGA, VHDL & Co. XC6SLX16 Spartan 6 Entwicklungsboard


von Carl (Gast)


Angehängte Dateien:

Lesenswert?

Im Internet gibt es relativ günstige Spartan-6 Dev-Boards für knapp 30 
Euro.

https://www.amazon.de/JENOR-XC6SLX16-Spartan-Entwicklungsboard-Speicher/dp/B07JGLM71T/

Allerdings sehe ich nirgends einen Schaltplan. Es ist wirklich nervig, 
wenn Leute ein Board verkaufen, aber keine Unterlagen anhängen.

Ist das der Richtige?:

https://usermanual.wiki/Document/QMXC6SLX16SDRAMUserManual.551225584

Ärgerlich ist, dass die Boards keinen eindeutigen Namen haben. Das von 
Amazon heißt Jenor, aber das Wiki ist von QM-Tech.

von googlemeister (Gast)


Lesenswert?


von A. B. (Gast)


Lesenswert?


von Carl (Gast)


Angehängte Dateien:

Lesenswert?

googlemeister
>https://www.dropbox.com/s/dxl57tcf26mqvpy/XC6SLX16__Schematic.pdf?dl=0

Sehr gut, der Link scheint zu passen. Zumindest kann ich mit Hilfe des 
Schematics eine der LEDs blinken.

von Carl (Gast)


Angehängte Dateien:

Lesenswert?

Hier noch eine Beispiel zur Ansteuerung der Tasten und Leds.
Das Board hat 3 Tasten und 4 LEDs.
Man kann aber nur zwei Tasten und zwei Leds ansteuern.

Die Taste "Prog B" ist wohl für das neu laden des FPGA aus dem 
SPI-Flasch reserviert. Wenn man sie drückt, geht die FPGA_DONE Led aus, 
bis die Daten wieder aus dem SPI-Flash in das FPGA geladen sind. Das 
geht in etwas 2 Sekunden. Wenn man ein Gerät mit dem FPGA baut, muss man 
also den Bootvorgang von ca. 2 Sekunden beachten.

von Dike Scarring (Gast)


Lesenswert?

Carl schrieb:
> Die Taste "Prog B" ist wohl für das neu laden des FPGA aus dem
> SPI-Flasch reserviert.
Ja, das ist bei Xilinx so üblich.
Bei den anderen Herstellern heißt der Pin ähnlich.

> Das geht in etwas 2 Sekunden.
> Wenn man ein Gerät mit dem FPGA baut, muss man
> also den Bootvorgang von ca. 2 Sekunden beachten.
Das kann man so pauschal nicht sagen:
Es hängt von der Größe der FPGA-Konfiguration, der SPI-Geschwindigkeit 
und der SPI-Busbreite ab.

Ich habe hier FPGAs mit 4xSPI (QSPI) und 25 MHz SPI-Clock, da geht die 
Konfiguration in deutlich unter 500 ms.

Duke

von Gustl B. (-gb-)


Lesenswert?

> Autor: Dike Scarring (Gast)

Glaubt ihm nichts, das ist nur ein billiges Fake!

von Carl (Gast)


Lesenswert?

>Es hängt von der Größe der FPGA-Konfiguration,

Ich hätte gedacht, dass die Zeitdauer für einen FPGA-Typ konstant ist 
und immer die selbe Anzahl Bits geladen werden.
Das obige Led/Taster Beispiel wird mit einer Auslastung von 1% angegeben 
und trotzdem braucht der Bootvorgang schon ca. 2 Sekunden.

von Carl (Gast)


Angehängte Dateien:

Lesenswert?

Ich hänge mal noch den Schaltplan an, damit dieser Thread vollständig 
ist und man schnell mit dem Board beginnen kann.

Leider fehlt noch ein SDRAM Beispiel, aber das dürfte etwas 
komplizierter werden.

von Gustl B. (-gb-)


Lesenswert?

Carl schrieb:
> Ich hätte gedacht, dass die Zeitdauer für einen FPGA-Typ konstant ist
> und immer die selbe Anzahl Bits geladen werden.
> Das obige Led/Taster Beispiel wird mit einer Auslastung von 1% angegeben
> und trotzdem braucht der Bootvorgang schon ca. 2 Sekunden.

Nein.
Die Anzahl der zu ladenen Bits ist konstant, also die Größe des 
Bitstreams. Aber wie lange das dauert hängt davon ab wie diese Bits in 
das FPGA geladen werden.
Das kann über langsames 1x SPI laufen, über schnelleres 4x SPI oder auch 
noch schneller über ein paralleles Interface.

Aber ja, für ein Board das Du vorliegen hast ist es konstant wenn Du es 
über immer den gleichen Mechanismus konfigurierst. Aber auch da hast Du 
ja zumindest zwei zur Auswahl:
1. Über JTAG
2. Aus dem Flash

von Pat A. (patamat)


Lesenswert?

Gustl B. schrieb:
> Die Anzahl der zu ladenen Bits ist konstant,

Das ist so nicht ganz richtig. Erstens gibt es auch FPGAs, die mit 
komprimierten Bitstreams umgehen können und da ist es leicht einsichtig, 
dass die Länge von Natur aus differiert. Und zweitens hängt die Länge 
des Bitstreams stark davon ab, ob Blockrams vorbelegt werden - 
komprimiert oder nicht!

Um die Konfigurationsdauer zu verkürzen, lässt sich in der Regel die 
SPI-Taktrate in weiten Bereichen einstellen. Wie schnell das wirklich 
funktioniert, hängt natürlich vom SPI-Flash ab.

von Christian R. (supachris)


Lesenswert?

Pat A. schrieb:
> Das ist so nicht ganz richtig. Erstens gibt es auch FPGAs, die mit
> komprimierten Bitstreams umgehen können und da ist es leicht einsichtig,
> dass die Länge von Natur aus differiert. Und zweitens hängt die Länge
> des Bitstreams stark davon ab, ob Blockrams vorbelegt werden -
> komprimiert oder nicht!

Bei Xilinx ist die Größe des nicht komprimierten Bitstreams für einen 
FPGA Typ in der Tat immer konstant, egal ob und wieviel Block RAM belegt 
sind, weil immer alle Bits initialisiert werden.
Mit der BitStream Kompression geht das schnell runter. Was natürlich 
auch hilft, ist die Config Rate einzustellen, aus einem SPI Flash kann 
man locker mit 50...100MHz booten. (Toleranz des CCLK beachten!)

: Bearbeitet durch User
von Carl (Gast)


Angehängte Dateien:

Lesenswert?

Bis jetzt habe ich mit dem teuren JTAG-Adapter

https://store.digilentinc.com/xup-usb-jtag-programming-cable/

die ganze Zeit nur ins RAM programmiert.

Ich hoffe, die Einstellungen für's Flash stimmen so.

von Carl (Gast)


Lesenswert?

Ich suche noch nach einem günstigeren JTAG-Programmer für das Board, da 
ich den jetzigen nur geliehen habe.

Wäre der hier geeignet?
https://www.amazon.de/Compatible-Platform-Cable-USB-Programmer/dp/B00KM70UFG

von Hans Hämmerle (Gast)


Lesenswert?

Carl schrieb:
> Wäre der hier geeignet?
> https://www.amazon.de/Compatible-Platform-Cable-USB-Programmer/dp/B00KM70UFG

Kommt auf dein persönlichen Glück an.

von Fitzebutze (Gast)


Lesenswert?

Du kannst eigentlich irgend einen FT2232H-basierten Programmer nehmen 
und mit xc3sprog glücklich werden. Lädt deutlich schneller als Impact.
Setzen wir auch für die Serienproduktion ein.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Carl schrieb:
> Wäre der hier geeignet?
> https://www.amazon.de/Compatible-Platform-Cable-USB-Programmer/dp/B00KM70UFG

Ich wuerde auf Ebay schauen, gibts da deutlich guenstiger. Oder gleich 
bei AliExpress.

: Bearbeitet durch User
von Carl (Gast)


Lesenswert?

>Du kannst eigentlich irgend einen FT2232H-basierten Programmer nehmen
>und mit xc3sprog glücklich werden. Lädt deutlich schneller als Impact.
>Setzen wir auch für die Serienproduktion ein.
Danke für den Hinweis.

Wie ich sehe, gab es hier im Forum schon mal ein längere Diskussion zu 
dem Thema:
Beitrag "Re: Neue Version von XC3SPROG"
Die Frage ist, ob die Exe von 2013 noch auf Win10 läuft ....

Ich habe dieses FDTI-Board und hoffe, dass es damit laufen könnte:

https://de.aliexpress.com/item/32961246303.html

von Friedhelm (Gast)


Angehängte Dateien:

Lesenswert?

>Wäre der hier geeignet?
>https://www.amazon.de/Compatible-Platform-Cable-USB-Programmer/dp/B00KM70UFG

Ich habe mich doch für diesen entschieden, weil ich davon ausging, dass 
man damit am wenigsten Softwareproblem hat. Und tatsächlich: 
anschließen, funktioniert.
Allerdings scheinen die auf dem Spartan-6-Board die Pins ein wenig 
verdreht zu haben, deshalb muss man mit dem mitgelieferten Adapter die 
Pins einzeln anschließen.

Auf dem Programmierer steht "Xilinx - Platform Cable USB" aber es 
scheint eher die Version II von hier zu sein.

https://www.xilinx.com/support/documentation/data_sheets/ds593.pdf

von Fitzebutze (Gast)


Lesenswert?

Carl schrieb:
> Die Frage ist, ob die Exe von 2013 noch auf Win10 läuft ....
>

Die Treiber sind eher das Problem, aber nur, wenn eine andere PID als 
6010 benutzt wird.

> Ich habe dieses FDTI-Board und hoffe, dass es damit laufen könnte:
>
> https://de.aliexpress.com/item/32961246303.html

xc3sprog läuft mit allen FT[2,4]232-Boards, allenfalls musst du 
VID/PID in der Software anpassen. Es gibt auch eine weiterentwickelte 
Version namens 'papilio-prog', die allenfalls mit dem Spartan6 besser 
tut, bin aber nicht auf dem Stand. Gibt auch fertige Entwicklungskits 
mit on-board-Programmer (wie http://papilio.cc/, 
https://hackaday.io/project/162259-netpp-node), die allerdings nicht im 
Bastler-Budget liegen, da teils einiges an Software-Support mit 
reingeht. Das ist bei den Billigboards teils unterirdisch, abgesehen von 
der teils miserablen Stabilität wegen unzureichender Bestückung mit 
Low-ESR-Caps.

Wir nutzen für die ganze Software einen Linux-Container, da ist alles 
fertig drin und läuft in einer VM. Es empfiehlt sich allenfalls, sich so 
etwas zu bauen um aktuelle xc3sprog-Versionen zu übersetzen. Es waren 
hier ab und an auch Anpassungen nötig (an andere Flash-Hersteller).

von Carl (Gast)


Angehängte Dateien:

Lesenswert?

Hier

http://hamsterworks.co.nz/mediawiki/index.php/Simple_SDRAM_Controller#Version_0.1_-_minimal_controller

gibt es einen Treiber für das SDRAM

MT48LC16M16A2

wie es auf dem Board verbaut ist.


Leider funktioniert mein Testprogramm für das RAM noch nicht.

Vielleicht hat jemand eine Idee:
1
LIBRARY ieee;
2
USE ieee.std_logic_1164.all;
3
USE ieee.numeric_std.all; 
4
5
entity ledsButtonsMemory is
6
  port (
7
    CLK_50MHz  : in std_logic;
8
    SWITCH_SW2  : in std_logic;
9
    SWITCH_SW3  : in std_logic;
10
    LED_D1    : out std_logic;
11
    LED_D3    : out std_logic;
12
    
13
    SDRAM_CLK   : out  STD_LOGIC;
14
    SDRAM_CKE   : out  STD_LOGIC;
15
    SDRAM_CS    : out  STD_LOGIC;
16
    SDRAM_nRAS  : out  STD_LOGIC;
17
    SDRAM_nCAS  : out  STD_LOGIC;
18
    SDRAM_nWE   : out  STD_LOGIC;
19
    SDRAM_DQM   : out  STD_LOGIC_VECTOR( 1 downto 0);
20
    SDRAM_ADDR  : out  STD_LOGIC_VECTOR (12 downto 0);
21
    SDRAM_BA    : out   STD_LOGIC_VECTOR( 1 downto 0);
22
    SDRAM_DQ    : inout  STD_LOGIC_VECTOR (15 downto 0)
23
       );
24
end ledsButtonsMemory;
25
26
27
architecture Behavioral of ledsButtonsMemory is
28
29
  constant sdram_address_width : natural := 22; -- for 32 MByte the sdram address with should be 25 bits
30
  constant sdram_column_bits   : natural := 8; -- what's that?
31
  constant sdram_startup_cycles: natural := 10100; -- 100us, plus a little more
32
  constant cycles_per_refresh  : natural := (64000*100)/4196-1;
33
34
  COMPONENT SDRAM_Controller
35
  generic (
36
    sdram_address_width : natural;
37
    sdram_column_bits   : natural;
38
    sdram_startup_cycles: natural;
39
    cycles_per_refresh  : natural
40
  );
41
  PORT(
42
    clk             : IN std_logic;
43
    reset           : IN std_logic;
44
      
45
  -- Interface to issue commands
46
    cmd_ready       : OUT std_logic;
47
    cmd_enable      : IN  std_logic;
48
    cmd_wr          : IN  std_logic;
49
    cmd_address     : in  STD_LOGIC_VECTOR(sdram_address_width-2 downto 0); -- address to read/write
50
    cmd_byte_enable : IN  std_logic_vector(3 downto 0);
51
    cmd_data_in     : IN  std_logic_vector(31 downto 0);    
52
      
53
  -- Data being read back from SDRAM
54
    data_out        : OUT std_logic_vector(31 downto 0);
55
    data_out_ready  : OUT std_logic;
56
57
  -- SDRAM signals
58
    SDRAM_CLK       : OUT   std_logic;
59
    SDRAM_CKE       : OUT   std_logic;
60
    SDRAM_CS        : OUT   std_logic;
61
    SDRAM_RAS       : OUT   std_logic;
62
    SDRAM_CAS       : OUT   std_logic;
63
    SDRAM_WE        : OUT   std_logic;
64
    SDRAM_DQM       : OUT   std_logic_vector(1 downto 0);
65
    SDRAM_ADDR      : OUT   std_logic_vector(12 downto 0);
66
    SDRAM_BA        : OUT   std_logic_vector(1 downto 0);
67
    SDRAM_DATA      : INOUT std_logic_vector(15 downto 0)     
68
  );
69
  END COMPONENT;
70
  
71
  -- SDRAM Controller Signals
72
  signal  cmd_ready       : std_logic;
73
  signal  cmd_enable      : std_logic:='0';
74
  signal  cmd_wr          : std_logic:='0';
75
  signal  cmd_address     : STD_LOGIC_VECTOR(sdram_address_width-2 downto 0); -- address to read/write
76
  signal  cmd_byte_enable : std_logic_vector(3 downto 0):="0000";
77
  signal  cmd_data_in     : std_logic_vector(31 downto 0);    
78
  signal  data_out        : std_logic_vector(31 downto 0);
79
  signal  data_out_ready  : std_logic;
80
  
81
  -- state machine
82
  signal counter: integer :=0 ;
83
  signal state: integer :=0 ;
84
  signal stateVector:std_logic_vector(4 downto 0);
85
  signal address: integer:=0;
86
  signal ledDisplay: std_logic_vector(1 downto 0):="00";
87
  
88
  signal slowClk: std_logic:='0';
89
  signal slowClk_counter: integer:=0;
90
  
91
begin
92
  SDRAM_ControllerInstance : SDRAM_Controller
93
  GENERIC MAP (
94
    sdram_address_width => sdram_address_width,
95
    sdram_column_bits   => sdram_column_bits,
96
    sdram_startup_cycles=> sdram_startup_cycles,
97
    cycles_per_refresh  => cycles_per_refresh
98
  )
99
  port map (  
100
    clk              => slowClk,
101
    reset            => '0',
102
    cmd_ready        => cmd_ready,       
103
    cmd_enable       => cmd_enable,      
104
    cmd_wr           => cmd_wr,          
105
    cmd_address      => cmd_address,     
106
    cmd_byte_enable  => cmd_byte_enable, 
107
    cmd_data_in      => cmd_data_in,     
108
    data_out         => data_out,        
109
    data_out_ready   => data_out_ready,  
110
    
111
    SDRAM_CLK   => SDRAM_CLK  ,
112
    SDRAM_CKE   => SDRAM_CKE  ,
113
    SDRAM_CS    => SDRAM_CS   ,
114
    SDRAM_RAS   => SDRAM_nRAS ,
115
    SDRAM_CAS   => SDRAM_nCAS ,
116
    SDRAM_WE    => SDRAM_nWE  ,
117
    SDRAM_DQM   => SDRAM_DQM  ,
118
    SDRAM_ADDR  => SDRAM_ADDR ,
119
    SDRAM_BA    => SDRAM_BA   ,
120
    SDRAM_DATA  => SDRAM_DQ   
121
    );
122
  
123
  process 
124
  begin
125
    
126
    wait until rising_edge(CLK_50MHz);
127
    
128
    --clock divider for ram clock
129
    if(slowClk_counter<5)then
130
  slowClk_counter <= slowClk_counter +1;
131
    else
132
  slowClk_counter <=0;
133
  slowClk<=not slowClk;
134
    end if;
135
    
136
    --if(counter<50000000)then
137
    if(counter<10)then
138
  counter <= counter +1;
139
    else
140
  counter <=0;
141
  
142
  -- state machine 
143
  if(state=5) then
144
    state<=0;
145
  else 
146
    state<=state+1;
147
  end if;
148
149
  --state0: increment address counter
150
  if(state=0)then
151
    if(address=10) then
152
      address<=0;
153
    else 
154
      address<=address+1;
155
    end if;
156
    ledDisplay(0)<='1'; -- indicate state 0
157
  end if;
158
  
159
  -- state1: read value
160
  if(state=1)then
161
    ledDisplay(0)<='0'; -- indicate state 0 ended
162
    ledDisplay(1)<=data_out(1); -- display read data bit
163
  end if;
164
  
165
  -- state2: read switch, set data, set wr
166
  if(state=2)then
167
    cmd_data_in(0)<=SWITCH_SW2;
168
    cmd_data_in(1)<=SWITCH_SW3;
169
    cmd_wr<='1';
170
  end if;
171
  
172
  -- state3: set command enable
173
  if(state=3)then
174
    cmd_enable<='1';
175
  end if;
176
  
177
  -- state4: reset command enable
178
  if(state=4)then
179
    cmd_enable<='0';
180
    cmd_wr<='0';
181
  end if;
182
  
183
    end if;
184
  
185
  end process ;
186
  
187
  stateVector<=std_logic_vector(to_unsigned(state, stateVector'length));
188
  cmd_address<=std_logic_vector(to_unsigned(address, cmd_address'length));
189
  
190
  LED_D1 <= not ledDisplay(0);
191
  LED_D3 <= not ledDisplay(1);
192
193
end Behavioral;

von Carl (Gast)


Lesenswert?

Das Testprogramm funktioniert doch, wenn man die Zeile

cmd_enable<='0';

in state 4 auskommentiert.

Scheinbar muss das cmd_enable immer auf 1 sein, damit sich das RAM 
angesprochen fühlt.

von Carl (Gast)


Angehängte Dateien:

Lesenswert?

Hier die funktionierende Version. Zusammen mit dem UCF-File und dem 
SDRAM-Controller von oben lässt sich daraus das lauffähige Konfiguration 
"ledsbuttonsmemory_bitFile" synthetisieren.

Das Für- und Wider der Verwendung von SDRAMS wird hier ausufernd 
diskutiert:
Beitrag "VHDL SDRAM Controller"

von J. S. (engineer) Benutzerseite


Lesenswert?

Carl schrieb:
> Scheinbar muss das cmd_enable immer auf 1 sein, damit sich das RAM
> angesprochen fühlt.

Ja, und das hat auch einen Sinn. Mit dem CMD sagt man ja dem Controller, 
was man tun möchte. Lesen oder Schreiben z.B. Warum das aber in dem Code 
so gelöst ist, weiß ich nicht. Normalerweise macht man das einen Takt 
lang an.

von Ale (Gast)


Lesenswert?

>  constant sdram_column_bits   : natural := 8; -- what's that?

Das SDRAM hat 2 "Strobes": Row Address Strobe (RAS) und Column Address 
Strobe (CAS).

Column bits sind die bits die man rausschicken muss werend CAS auf Null 
gesetzt wird, i.e. A0..A7.

1 Bank = Rows x Cols

von Ned (Gast)


Lesenswert?

Hello, Please excuse the English.
I just received my board and although I was too cheap to buy a nice 
Digilent JTAG USB lead, I did buy one similar to that pictured by 
Friedhelm.
I've worked with Xilinx in a production environment but never created 
any designs of my own with them. I just wanted to post this link I found 
of QMTECH's demo projects. LED's, Keys, SDRAM and a couple more using 
their development daughter board which has a 330MHz DAC (ADV7123) 
connected to an SVGA interface as well as the venerable CY7C68013 USB 
FIFO chip. They seem to include a slave FIFO demo project as well. 
Anyone know where we can buy the carrier board? (They call it a 
"daughter board" and the main board they call a "core board" even though 
the core board is physically being carried by the daughter board).

Their demos:

https://github.com/ChinaQMTECH

Ned

von Ned (Gast)


Angehängte Dateien:

Lesenswert?

I forgot to mention that, in response to the original thread, their file 
of demos also includes circuit diagrams and lots of datasheets of the 
XC6SLX16 Spartan 6  as well as datasheets of the SDRAM, FIFO, DAC and 
all the other chips on both boards.

https://github.com/ChinaQMTECH/QM_XC6SLX16_SDRAM

von Bernd (Gast)


Lesenswert?

>Anyone know where we can buy the carrier board? (They call it a
>"daughter board" and the main board they call a "core board" even though
>the core board is physically being carried by the daughter board).

Hi Ned,
the Board can be found on Ali-Express, it is named

"Xilinx FPGAIO Expansion Board mit 64 Mbit Flash 32 Mbyte SDRAM"

von Thorsten E. (bluescreen)


Lesenswert?

Hallo, sorry, dass ich auf diesen Uralt Thread schreibe.

Ich habe exakt dieses Board und wollte als Beginn mit FPGA Entwicklung 
mal das o.g. Blinkprojekt in das Board zu bekommen. Leider ohne Erfolg. 
Ich kann es zwar compilieren (oder wie man das auch immer bei FPGAs 
heißt). Wenn ich dann iMPACT aufrufe erzeugt er mir eine IPf File.

Wenn ich den Boundary Scan ausführe findet er auch mein FPGA und ich 
kann das BIN File anscheinend in das RAM des FPGAs schreiben. Das 
Default Geblinke das im Board drin war hört auf und die beiden LEDs 
glimmen vor sich hin. Mit dem Oszi sehe ich einen konstanten 1,8V Pegel. 
Vermutung ist, dass ich zwar das FPGA beschreibe aber nicht starte. Wie 
starte ich es.

Wenn ich das Board dann aus/einschalte ist wieder das Originalgeblinke 
da. Also habe ich wohl nicht das Konfig-EEPROM beschrieben. Was auch 
klar ist, weil man ja anscheinend erstmal im iMPACT das EEPROM bekannt 
machen muss. Dazu fragt er dann nach einem MCS File. Was ist das? Wo 
bekommt man es her.

Ein weiteres Problem ist, das iMPACT das gespeicherte IPF File nicht 
laden kann. Öffnet man iMPACT aus ISE beendet es sich einfach 
stillschweigend. Öffnet man iMPACT direkt kommt eine Fehlermeldung, dass 
die Datei nicht zu laden ist und man darf dann ein neues Projekt 
erstellen. Es hilft also nur, nach einer Änderung des ISE Projektes das 
ipf File löschen und neu erzeugen.

Für Hilfe wäre ich sehr dankbar. Für mich ist der bisherige Einstig in 
FPGAs aufgrund dieser Problematik sehr frustrierend. Ohne dass ich 
bisher überhaupt zur eigentlichen Programmierung gekommen bin.

Viele Grüße
Thorsten

Beitrag #6922908 wurde von einem Moderator gelöscht.
Beitrag #6922993 wurde von einem Moderator gelöscht.
von Thorsten E. (bluescreen)


Lesenswert?

Erstmal zur Sache: ich habe mein Blink Beispiel nun ins FPGA bekommen. 
Es ging die ganze Zeit, ich hatte einfach nur den falschen Gehäusetyp 
des FPGAs ausgewählt, wodurch das Pinout nicht passte. Jetzt muss ich 
nur noch herausbekommen, wie man das Design im EEPROM speichert.

Nun zum Umgangston:
Ich habe nun seit Jahrzehnten das Mikrocontroller.Net Forum gemieden, 
weil annähernd jeder Thread von Querulanten und Besserwissern gekapert 
wird, was dann widerum in heftigen Gegenreaktionen ausufert und dem 
Fragendem oder dem der sein Projekt vorstellen will dann natürlich gar 
nicht mehr hilft.

Eigentlich schade, da die Themenliste durchaus zeigt, dass hier 
interessante Leute unterwegs sind, die durch eine geringe Anzahl von 
o.g. Schlaubergern niedergemacht werden.

Für mich heißt das also leider, das Forum weiterhin zu meiden und mich 
anderen Foren zuwenden. Insbesondere englischsprachige, internationale 
Foren sind da offensichtlich wesentlich weltoffener.

Dem Mikrocontroller.net kann ich nur empfehlen das Posten durch Gäste zu 
unterbinden und vielleicht Moderatoren einzusetzen. Geht anscheinend 
nicht anders.

In dem Sinne, tschüss und ein Frohes Neues Jahr.
Ich lese nun hier nicht mehr mit, ihr könnte also flamen was das Zeug 
hält.

Wer dennoch mit mir in Kontakt treten will um z.B. mal ein FPGA 
Lernprojekt aufzusetzen findet meine EMailadresse auf meiner Webseite 
www.trektech.de.

Tschüss
Thorsten

von Weltbester FPGA-Pongo (Gast)


Lesenswert?

Carl schrieb im Beitrag #6922993:
> Tu mir den Gefallen, halt die Klappe und bleib diesem Thread fern. Dein
> Geschreibsel ist wie schon seit Jahren nutzlos und wenig hilfreich.
Du kannst dich mal schön entspannen. Statt persönlich zu werden und 
Anweisungen zu geben, wer wann wie zu posten hat, wäre es zweckmäßiger, 
sich die Bedeutung von Kritik vor Augen zu führen!

Auch mir sind die schlampigen Beschreibungen vieler Systeme ein Dorn im 
Auge und ein Großteil dieses threads wäre gar nicht nötigt, wenn 
Lieferanten das komplett bereitstellen würden. Daher wäre es schon 
zweckmäßig, denen auf die Füsse zu treten. Und zwar wirklich denen und 
nicht hier schon im Eingangspost Kritik auszubreiten an uns, die wir an 
der Situation gar nichts ändern können. Das sollte sich der TE mal 
überlegen.

Tobias B. schrieb:
> Ich wuerde auf Ebay schauen, gibts da deutlich guenstiger. Oder gleich
> bei AliExpress.
Das würde ich z.B: nicht machen. Auf Ali's Express bekommts du derzeit 
sehr viel Ausschuss, den irgendeiner aufgekauft hat und vertickt, weil 
es über den vorherigen Kanal nicht zu verkaufen war, da als Plagiat 
aufgeflogen.

Besonders die billigen FPGA-Platinen sind reihenweise mit Fakes 
bestückt.

von Bernhard K. (bkom)


Lesenswert?

@Weltbester FPGA-Pongo
Auch ich sage das deine Beitäge hier komplett Überflüssig sind!
Denn die Frage von Thorsten E hat nicht mit mangelner Doku eines 
billig-Boards zu tun. Er hat ganz klar noch FPGA und ISE/Impakt 
Startprobleme.
Eines hat er nun selbst gelöst.

An Thorsten E:  ignoriere schlecht gelaunte Beiträge ganz einfach 
komplett.
+ Das "MCS"-file kann der Impact generieren: >Create Prom File<
z.B.:
Beitrag "Re: XC6SLX16 Spartan 6 Entwicklungsboard"

Und wenn ein VHDL code nicht das tut was er soll, ist es manchmal 
hilfreich ihn hier zu posten ...

: Bearbeitet durch User
von Fpgakuechle K. (Gast)


Lesenswert?

Thorsten E. schrieb:

> Für mich heißt das also leider, das Forum weiterhin zu meiden und mich
> anderen Foren zuwenden. Insbesondere englischsprachige, internationale
> Foren sind da offensichtlich wesentlich weltoffener.

Weil ein Forum mit Deiner Vorhegensweise Probleme hat, siehst Du dich 
gezwungen mit eine unveränderte Voreingenommenheit/Attitüde in ein 
anderes Froum zu wechseln?
Das IMHO die bequemste aber nicht die preoblemlösende Vorgehensweise.

Bernhard K. schrieb:
> Denn die Frage von Thorsten E hat nicht mit mangelner Doku eines
> billig-Boards zu tun.

Doch, hat es. Es geht hier schliesslich nicht um irgendein board, 
sondern um ein Starterkit, ein Board für blutige Anfänger. Und da wird 
seit Jahr und Tag empfohlen sich als Anfänger ein Board mit guten 
Tutorials/Step-by-Step-Guide/angepasstenExamples+Skripten/Videoa und 
etablierter Community zu kaufen, am besten eins das auch von 
deutschsprachigen Hochschulen zur Ausbildung verwendet wird.

Aber dieser guter Rat: zum Lernen ein Lern-kit zu verwenden, wird immer 
wieder ignoriert und stattdessen das billigste vom Billigen gekauft, 
weil man kann ja kostenfrei in Foren fragen. Dabei gilt immer noch, 
'Hilf dir selbst, dann hilft Dir Gott.' (Und mal so als Hinweis, welchen 
Wert hier ein in Selbstausbeutung' getipperter Hinweis hat, der 
Stundensatz eines Fpga-Entwicklers beginnt so bei 72€. Da dürfte so 
ziemlich schnell der Preisvorteil zu einen dediziert designten 
Education-board erreicht sein)

Hier wäre es vielleicht angebracht nach "impact Rom-file generierung" zu 
googlen und den ersten Treffer von Seite 12 bis 27 durcharbeiten:
https://docplayer.org/7857278-Anleitung-fuer-vhdl-tools.html

PS:
IMHO ist derzeit ein schlechter Zeitpunkt Fragen zu Billig-boards zu 
stellen. Besser allgemein formulieren. Schuld an der -für mich 
verständlichen- Genervtheit ist u.a. dieser 'Bettel-Brief' der hier 
kürzlich eingeworfen wurde:
Beitrag "Ideen für ein Raspberry Pi FPGA Dev Board A.K. "Mister/DE-10 Nano für Arme""

von Weltbester-FPGA-Pongo (Gast)


Lesenswert?

Fpgakuechle K. schrieb:
> Und mal so als Hinweis, welchen
> Wert hier ein in Selbstausbeutung' getipperter Hinweis hat, der
> Stundensatz eines Fpga-Entwicklers beginnt so bei 72€. Da dürfte so
> ziemlich schnell der Preisvorteil zu einen dediziert designten
> Education-board erreicht sein)

gut geschrieben! und gleichsam eine versteckte Erklärung, warum sich 
manch einer nur sporadisch im Forum blicken lässt und keine Lust hat, 
Fragen zu beantworten, die im Grunde keine sind

P.S. Das wäre schon wieder 1,40 zzgl MST, die ich in Rechnung stellen 
müsste. Aber was solls: Die letzten Änderungen für heute sind durch, die 
Synthese ist angeschmissen und morgen gucken wir, was bei rausgekommen 
ist.

Ich geh jetzt Kaffee trinken ...

von Thorsten E. (bluescreen)


Lesenswert?

Eure Argumentation impliziert, dass ihr die Beantwortung der Forum 
Fragen als Job seht und nicht als Hobby. Dann bin ich vielleicht in 
diesem Forum tatsächlich falsch. Ich ging wohl irrtümlicherweise davon 
aus, dass es sich hier um ein Forum von Hobbyelektroniker handelt. U.a. 
weil es weder zugangsbeschränkt ist, noch kostenpflichtig.

Früher war ich tatsächlich mal öfters hier und habe auch schonmal Fragen 
beantwortet und sogar Software bereit gestellt, wurde daraufhin aber 
auch nur (von Einzelnen) beschimpft. Daraufhin habe ich das Forum 
jahrzentelang gemieden und nun durch Google mal wieder drauf gestoßen. 
Ich sehe, dass das ein Fehler war.

Für mich ist die Bastelei Hobby und Hobby soll Spaß machen, man will 
Gleichgesinnte finden, sich vielleicht sogar mal treffen. Genau dies 
trifft hier anscheinend nicht zu. Also lass ich es. Es gibt genug andere 
Foren, in denen es frundlich und unkommerziell zu geht. Ich wundere mich 
aber schon schon warum sich die 72€/h-FPGA-Entwickler dann die Zeit 
nehmen hier mitzulesen, herumzuschlaubergern (noch dazu auf jahrealte 
Bemerkungen antworten und nicht auf die aktuelle) und Leute zu 
vergrätzen. Ach ja,habs schon wieder vergessen, ist ja anscheinend ein 
von Ihrem Arbeitgeber finanziertes gewerbliches Forum.

Thread ist abgemeldet und Benutzerkonte folgt gleich.

Nochmal tschüss, vor allem an die normale Hobbyisten hier, die sich 
vermutlich gerade totlachen.

von Fpgakuechle K. (Gast)


Lesenswert?

Thorsten E. schrieb:
> Eure Argumentation impliziert, dass ihr die Beantwortung der Forum
> Fragen als Job seht und nicht als Hobby. Dann bin ich vielleicht in
> diesem Forum tatsächlich falsch. Ich ging wohl irrtümlicherweise davon
> aus, dass es sich hier um ein Forum von Hobbyelektroniker handelt. U.a.
> weil es weder zugangsbeschränkt ist, noch kostenpflichtig.

Deine Vermutung, die du hier als Implikation verkaufen willst, ist nicht 
ganz richtig aber auch nicht ganz falsch.
FPGA ist nun mal definitiv keine anspruchslose Freizeitbeschäftigung wie 
Briefmarken sammeln oder (Amateurhaftes) Heimwerken sondern wird wohl 
wegen der steilen Lernkurve hauptsächlich im Beruf und Lehre betrieben. 
Was nicht heisst, das die Beteiligten auch Freizeitprojekte wie Retro 
o.ä. damit betreiben.

Was aber irrelevant ist, weil egal ob Studium, Freizeit jedem ist seine 
Zeit kostbar und erscheint verschwendet, wenn der angeblich 
Hilfe-suchende wohl nur eine Bühne für sein Ego sucht.
>Genau dies trifft hier anscheinend nicht zu. Also lass ich es. Es gibt genug 
andere
Foren, in denen es frundlich und unkommerziell zu geht.
>Thread ist abgemeldet und Benutzerkonte folgt gleich.

Es interessiert keine Sau, ob du Dich in diesem oder in irgendeinem 
anderen Forum an-, ab- oder ummeldest. Du musst hier nichts beweisen.
PS:
Das was die Wikipedia an Tipps schreibst um mit sich und dem Internet 
'im Reinen' zu leben, gilt weitgefasst auch hier:
https://de.wikipedia.org/wiki/Wikipedia:St%C3%B6re_Wikipedia_nicht,_um_etwas_zu_beweisen

von Gustl B. (-gb-)


Lesenswert?

Fpgakuechle K. schrieb:
> Fpga ist nun mal definitiv keine Freizeitbeschäftigung wie Briefmarken
> sammeln oder amateurhaftes Heimwerken sondern wird wohl wegen der
> steilen Lernkurve hauptsächlich im Beruf und Lehre betrieben.

Naja ... viele Hobbys haben eine steile Lernkurve, sogar noch sehr viel 
steiler. Nimm nur die ganzen Sportarten in die man unfassbar viel Zeit 
versenken muss bis man halbwegs gut ist und selbst dann ist man noch 
lange nicht in einer höheren Liga unterwegs. Oder das Musizieren. Um mit 
einem Instrument wie Klavier oder so aufzutreten vor mehreren vielen 
Leuten als Erwachsener musst du deutlich mehr Zeit investieren als die 
Zeit die du brauchst um mit VHDL schöne Hobbyprojekte zu stemmen.
Ja, vor 10 Jahren waren FPGAs bei Hobbyisten noch rar. Aber jetzt ist 
das weit verbreitet. Die Boards sind günstig, es gibt massenhaft 
Tutorials. Klar das ist noch nicht ganz auf Arduino Niveau angekommen, 
aber irre viel fehlt nicht mehr.

Fpgakuechle K. schrieb:
> Deine Vermutung, die du hier als Implikation verkaufen willst ist nicht
> ganz richtig aber auch nicht ganz falsch.

Hast du den Post gelesen auf den du geantwortet hast?

Thorsten E. schrieb:
> Eure Argumentation impliziert, dass ihr die Beantwortung der Forum
> Fragen als Job seht und nicht als Hobby.

Da geht es nicht drum ob du FPGA als Hobby oder Beruf machst, sondern ob 
du hier die Fragen in deiner Freizeit und unbezahlt als Hobby 
beantwortest oder ob du das als Beruf siehst.
Ich für meinen Teil kann da ganz klar sagen:
Hier im Forum Fragen zu beantworten ist mein Hobby. Wenn mir Fragen zu 
dumm sind, dann antworte ich eben nicht. Wenn mich Fragen interessieren, 
dann verwende ich da auch gerne mal mehrere Stunden meiner Freizeit für 
ein Layout oder eine HDL Simulation. Und das ohne irgendwie eine 
Rechnung stellen zu wollen.

Fpgakuechle K. schrieb:
> Was aber irrelevant ist, weil egal ob Studium, Freizeit jedem ist seine
> Zeit kostbar und erscheint verschwendet, wenn der angeblich
> Hilfe-suchende wohl nur eine Bühne für sein Ego sucht.

Deine Zeit kann nicht der Hilfesuchende verschwenden, nur du kannst sie 
selbst verschwenden. Also einem Fragenden den Vorwurf zu machen er würde 
deine Zeit verschwenden ist absurd. Du musst nicht antworten und selbst 
der Vorwurf zeigt nur, dass dir deine Lebenszeit nicht wichtig genug ist 
und du sie gerne für einen sinnlosen Vorwurf opferst.

Thorsten E. schrieb:
> Nochmal tschüss, vor allem an die normale Hobbyisten hier, die sich
> vermutlich gerade totlachen.

Tja hier braucht man leider ein dickes Fell, denn einige prügeln gerne 
auf Neulinge ein. Warum sie das tun weiß ich nicht, für mich sieht das 
aus wie Zeitverschwendung denn es gibt ja keinen Schreibzwang.

Thorsten E. schrieb:
> herumzuschlaubergern (noch dazu auf jahrealte
> Bemerkungen antworten und nicht auf die aktuelle) und Leute zu
> vergrätzen.

Wenn man das Ego nicht durch eigene Leistung aufwerten kann, dann wertet 
man Andere ab.

von Weltbester FPGA-Pongo (Gast)


Lesenswert?

So, Kaffee getrunken, noch ein bissl programmiert, Abend gegessen, Bond 
geguckt (mei, war der schlecht) und nochmal kurz vor dem zubettgehen ins 
Forum geguckt:

Thorsten E. schrieb:
> Eure Argumentation impliziert, dass ihr die Beantwortung der Forum
> Fragen als Job seht und nicht als Hobby.
Nein, keineswegs. Es geht lediglich um Zeiteinsatz. Es gibt ja auch noch 
andere Foren und Threads sowie um den Sachverhalt, dass stetes 
Anfängernörgeln die Fachleute ermüdet und abschreckt.

Gustl B. schrieb:
> Da geht es nicht drum ob du FPGA als Hobby oder Beruf machst, sondern ob
> du hier die Fragen in deiner Freizeit und unbezahlt als Hobby
> beantwortest oder ob du das als Beruf siehst.
Genau das ist der springende Punkt.

Thorsten E. schrieb:
> Thread ist abgemeldet und Benutzerkonte folgt gleich.
Daß manche immer auf die Idee kommen, andere Nutzer damit bestrafen zu 
können, dass sie sich mit Pauken und Trompeten abmelden. Jeder weis 
doch, dass diese Sorte über die Hintertür wieder reinkommt und 
insbesondere nach Tagen wiederkehrt, um nachzusehen, was aus diesem 
Thread geworden ist. :-)

> Nochmal tschüss, vor allem an die normale Hobbyisten hier, die sich
> vermutlich gerade totlachen.
Ich bin nicht so sicher, ob jemand lacht. Und wenn, dann nicht unbedingt 
über die, die du im Auge hast.

Mann, Mann, was sind die Leute um Weihnachten herum immer so unentspannt 
...

Ach ja:
> XC6SLX16 Spartan 6 Entwicklungsboard
das ist das toteste FPGA, das es momentan zu kaufen gibt. Von keinem 
anderen Typ liegt mehr auf Lager und wird gleichzeitg so wenig 
eingesetzt. Ich glaube, dass überhaupt nur noch Ersatz-Chargen laufen, 
weil wieder irgendwo jemand als Liefer-Backup weggefallen ist und ein 
Hersteller, der 25 Jahre Lieferverpflichtungen hat, sich schnell noch 
einen Lieferanten besorgen muss.

PLC2 / Xilinx kam vor 2 Wochen mit einem extra vorgestellten Webinar ums 
Eck, wie man das Problem umgeht und auf einen modernen Artix wechselt. 
Dabei wurde aber der 16er schon gar nicht mehr erwähnt.

Ich glaube, der wird schon seit 10 Jahren nicht mehr für neue Designs 
verwendet.

von Fpgakuechle K. (Gast)


Lesenswert?

Gustl B. schrieb:
> Fpgakuechle K. schrieb:
>> Was aber irrelevant ist, weil egal ob Studium, Freizeit jedem ist seine
>> Zeit kostbar und erscheint verschwendet, wenn der angeblich
>> Hilfe-suchende wohl nur eine Bühne für sein Ego sucht.
>
> Deine Zeit kann nicht der Hilfesuchende verschwenden, nur du kannst sie
> selbst verschwenden. Also einem Fragenden den Vorwurf zu machen er würde
> deine Zeit verschwenden ist absurd.

Naja sagen wir mal so, der 'Hilfesuchende' hat unter mehreren 
Möglichkeiten mit dem Thema zu starten die Variante gewählt die mehr 
externe Unterstützung braucht als andere. Er hat also bewußt die 
lebenszeit andere verplant und beschimpft dann wüßt die Hobbyisten und 
droht mit 'Liebesentzug'.

Hätte er gleich auf ein etabliertes Anfänger-kit gesetzt, hätte er allen 
Beteiligten und an erster Stelle sich viel Aufwand gespart. Dazu kommt 
die Weigerung oder Unfähigkeit die Hilfefunktion zu benutzen.
Es sind schon mehrmals Links genannt worden unter denen erklärt wird, 
wie er sein Programmierproblem gelöst - Aber was macht der 
'Hilfesuchende' ?! Lamentiert lang und breit über "den Fehler das Forum 
zu benutzen"...
Also erst wird trotz Hinweise ein Board mit erhögten Betreuungsbedarf 
gewählt und dann wird darüber geschimpft das die tatsächlich 
stattgefundene Betreuung nicht den Anforderungen gnügen würde. -> 
Kognitive Dissonanz per excellence'.
https://de.wikipedia.org/wiki/Kognitive_Dissonanz

> Wenn man das Ego nicht durch eigene Leistung aufwerten kann, dann wertet
> man Andere ab.

Genau das macht der sogenannte 'Hilfesuchende' hier, spaltet das Forum 
und hetzt die Gruppen gegeneinander auf, weil er selbst (derzeit) dem 
Thema 'FPGA' nicht gewachsen ist.

--
Grad geprüft, ob vielleicht Lernmaterial zu dem Board wie in 
Beitrag "Re: XC6SLX16 Spartan 6 Entwicklungsboard" 
versprochen, nachgereicht wurden. Ergebnis: 'Page not found' :-(
Und dazu gesehen, das hier ein Mod den Beitrag gelöscht hat, auf den 
explizit auf die geringe Eignung solche China-Boards zu dem genannten 
Zweck hingewiesen wird :-((

von Dergute W. (derguteweka)


Lesenswert?

Moin,

Also ich geb' doch mal zu bedenken, dass es doch keinerlei Zwang gibt:
1.) In diesem Forum zu lesen
2.) Drauf zu antworten
Oder lieg' ich da falsch?
Ich stuf' es als aehnlich laecherlich ein, mit Loeschung des eigenen 
Accounts und Zuwendung zu anderen, besseren Foren zu drohen, oder zu 
jammern, dass einem das Lesen schwachsinniger Forenbeitraege zu viel 
kostbare Lebenszeit raubt oder nicht genuegend alimentiert im Vergleich 
zum normalen Broterwerb ist.
Geht mal raus spazieren oder esst mal einen Apfel!

SCNR,
WK

von Gustl B. (-gb-)


Lesenswert?

Fpgakuechle K. schrieb:
> Naja sagen wir mal so, der 'Hilfesuchende' hat unter mehreren
> Möglichkeiten mit dem Thema zu starten die Variante gewählt die mehr
> externe Unterstützung braucht als andere.

Er hat sich ein billiges Board gekauft. Ja ohne sich vorher zu 
informieren wie so viele Andere es eben auch tun.

Fpgakuechle K. schrieb:
> Er hat also bewußt die
> lebenszeit andere verplant

Nein, das hat er nicht, denn das kann er gar nicht. Deine Lebenszeit 
kannst nur du selbst verplanen. Man hätte ihm auch schlicht nicht 
antworten können. Das wären die minimalen Kosten an Lebenszeit.

Fpgakuechle K. schrieb:
> Es sind schon mehrmals Links genannt worden unter denen erklärt wird,
> wie er sein Programmierproblem gelöst - Aber was macht der
> 'Hilfesuchende' ?! Lamentiert lang und breit über "den Fehler das Forum
> zu benutzen"...

Alles nicht neu, das sieht man in jedem Forum sehr oft. Und hilft es da 
wenn man noch seine eigene Lebenszeit verbrät und antwortet? Nein. 
Einfach nicht antworten wenn man das als Zeitverschwendung sieht.

Fpgakuechle K. schrieb:
> Kognitive Dissonanz per excellence'.

Das ist aber das Problem eines Anderen. Das muss man sich nicht zu eigen 
machen.
Du schimpfst hier über Zeitverschwendung durch einen Hilfesuchenden und 
vernichtest dann trotzdem deine Lebenszeit durch lange Antworten. Klar 
ist OK weil wir hier diskutieren, ich mache das ja auch gerne, aber 
generell, in Foren ist es sehr hilfreich wenn man hart filtert und dann 
auf viele Posts die einen nur am Rande interessieren oder gar aufregen 
einfach nicht antwortet. Ist auch gut für den Blutdruck.

Fpgakuechle K. schrieb:
> Genau das macht der sogenannte 'Hilfesuchende' hier, spaltet das Forum
> und hetzt die Gruppen gegeneinander auf, weil er selbst (derzeit) dem
> Thema 'FPGA' nicht gewachsen ist.

Das Forum spaltet er nicht, es spaltet sich höchstens selbst. Das ist 
wie in vielen anderen Threads auch. Die entwickeln sich oft erst dadurch 
in eine Schlammschlacht weil zu viele User nicht die Finger still halten 
können. Ich will mich da auch gar nicht ausschließen. Für mich ist das 
hier ein Hobby, und wenn mir langweilig ist dann wird gepostet. Manchmal 
auch mit sehr trolliger Tendenz. Aber der Punkt ist: Man könnte das 
ignorieren. Man könnte Trolls wunderbar ins leere laufen lassen. Aber 
genau das passiert leider so gut wie nie. Da kommt dann der 
obligatorische don't feed the troll Post und ab dann ist es gelaufen. 
Dann versammeln sich alle Gelangweilten und dreschen aufeinander ein bis 
der Thread gesperrt werden muss.

Dergute W. schrieb:
> besseren Foren zu drohen

(-:

: Bearbeitet durch User
von Fpgakuechle K. (Gast)


Lesenswert?

Gustl B. schrieb:
> Alles nicht neu, das sieht man in jedem Forum sehr oft. Und hilft es da
> wenn man noch seine eigene Lebenszeit verbrät und antwortet? Nein.

Doch, hilft es. Jedenfalls ist es für Keinen gut, einfache eine 
pauschale Schuldzuweisung unkommentiert stehen zu lassen.

Und das ist schon ziemlich harter Tobak was der sogenannte 
'Hilfesuchende' hier auffährt. Das Forum sei nicht weltoffen, weil von 
Querulanten und Besserwissern 'gekapert', als Anfänger würde man nur 
beschimpft, etc. pp..

Gerade im letzteren liegt der Kern des Problems. Wer Kritik als 
'beschimpfung' diffamiert ist kein Hilfesuchender. Und 'Ignorieren' ist 
keine Hilfestellung, eine Hilfestllung ist aber eine kurz und knapp 
formulierte Anweisung wie "Du bist auf dem Holzweg, mach es so wie im 
Link xyz gezeigt". Aber das will ja mancher hier nicht wahrhaben. Auch 
nicht, das für manche Charaktere die Hürden einfach zu hoch sind und es 
eben keine Abkürzung zur ('frustierenden') Selbsterfahrung gibt. Das 
Problem ist der Frust und der Versuch des 'Hilfesuchenden' diesen 
selbsterzeugten Frust auf andere abzuwälzen, statt die 'Eier' zu haben, 
es selbst durchzustehen.

von Fpgakuechle K. (Gast)


Lesenswert?

Dergute W. schrieb:

> Also ich geb' doch mal zu bedenken, dass es doch keinerlei Zwang gibt:
> 1.) In diesem Forum zu lesen
> 2.) Drauf zu antworten
> Oder lieg' ich da falsch?

ja, Du liegst falsch hier. Klar gibt es einen gewissen Zwang hier zu 
schreiben/antworten/Falschbehauptungen entgegen zutreten.

Genau der Zwang dem du grad selbst nachgegeben hast, um hier eine 
Hohl-Phrase "vom Apfel essen" selbstgefällig und eitel ins Forum zu 
k*tz*.

von J. S. (engineer) Benutzerseite


Lesenswert?

Gustl B. schrieb:
> Nimm nur die ganzen Sportarten in die man unfassbar viel Zeit
> versenken muss bis man halbwegs gut ist und selbst dann ist man noch
> lange nicht in einer höheren Liga unterwegs. Oder das Musizieren. Um mit
> einem Instrument wie Klavier oder so aufzutreten vor mehreren vielen
> Leuten als Erwachsener musst du deutlich mehr Zeit investieren als die
> Zeit die du brauchst um mit VHDL schöne Hobbyprojekte zu stemmen.

Das ist aber jetzt ein Vergleich von ambitioniert (Liga, Auftritte) mit 
Freizeit (Hobbyprojekte). Ich würde das eher etwas anders arrangieren 
und die Hobby-FPGA-Projekte mit Feierabendfussball / Musikverein 
vergleichen.

Daraus folgt, dass ein anspruchsvolles und wertiges Elektronikprojekt 
auch erst nach etlichen Jahren zu etwas Reifem werden kann, nachdem die 
gesamte Anfängerthematik überwunden ist, man alles weitgehend sehr gut 
beherrscht und sich dann aufmachen kann, was Eigenes zu bauen, was 
andere nicht so ohne Weiteres nachbauen können.

Das Problem bei den Elektronikprojekten ist, dass es keine organisierte 
Anfängerschulung für Jugendliche gibt, die aber nötig wäre, weil die 
Beispiele "Musik" und "Sport" eben klar zeigen, dass es nur der zu was 
bringt, der eine gute Ausbildung hatte. Und was die beiden Beispiele 
auch zeigen: Nur wer sich der Kritik stellt und sie zur Verbesserung 
nutzt, kommt am Ende auch voran.

Wer aber schon bei der ersten Dissonanz den Verein, die Band oder das 
Forum wechselt, könnte ein Problem bekommen: Er flüchtet von einer Blase 
in die andere, bis er eine gefunden hat, wo er der "local hero" ist. Das 
Internet macht da z.B. viel möglich und mindert nach meinem Eindruck die 
Kritikfähigkeit: Während ein Sportler lernt, dass der Trainer den ganzen 
Tag an ihm herummeckert und nach Fehlern sucht, also nicht die 95% lobt 
die funktionieren, sondern die 5% Technikfehler anmahnt, die nicht gut 
klappen, ist es in den Internetblasen so, dass man für alles, was man 
tut, irgendwie Bestätigung bekommt, weil der dislike-Button fehlt.

Das Ergebnis sind schlecht gemachte Videos mit schlecht gemachter Musik, 
in denen schlecht gemachten stunts, schlecht gemachte Akrobatik und eben 
auch schlecht gemachte Elektronik und vor allem schlecht gemachter 
Gesang präsentiert werden. Auffliegen wird es dann spätestens beim 
Bohlen, wenn er etwas als Megasch...se enttarnt oder der ach so tolle 
Fussballer mit seinen Video-Trickschüssen im Sportstudio auftaucht und 
an der Torwand nichts trifft.

Wir brauchen daher auch eine Elektronikvergleichsshow in der sich Leute 
mit ihren Projekten bewerben können und jemanden, der den Buzzer 
bedient! :-)

: Bearbeitet durch User
von Duke Scarring (Gast)


Lesenswert?

Jürgen S. schrieb:
> Das Problem bei den Elektronikprojekten ist, dass es keine organisierte
> Anfängerschulung für Jugendliche gibt,
Früher (tm) gab es sowas in großem Stil und nannte sich AG Junger 
Techniker und Naturforscher:
https://de.wikipedia.org/wiki/Station_Junger_Naturforscher_und_Techniker

von Christoph Z. (christophz)


Lesenswert?

Jürgen S. schrieb:
> Das Problem bei den Elektronikprojekten ist, dass es keine organisierte
> Anfängerschulung für Jugendliche gibt,

Gibt es schon noch, wenn man Glück hat, ein solches Angebot in seiner 
Nähe zu haben. Ist aber viel seltener als die meisten Sportarten.

St. Gallen: https://www.jez.ch/
Bern: 
https://www.tfbern.ch/berufserkundung/berufserkundung/jugend-elektronik-zentrum-jez-(1)/
Schaffhausen: https://www.go-tec.ch/

Früher (tm) war das heranführen Jugentlicher an Elektronik eine der 
Hauptstandbeine der Amateurfunker.

von Gustl B. (-gb-)


Lesenswert?

Jürgen S. schrieb:
> Das ist aber jetzt ein Vergleich von ambitioniert (Liga, Auftritte) mit
> Freizeit (Hobbyprojekte). Ich würde das eher etwas anders arrangieren
> und die Hobby-FPGA-Projekte mit Feierabendfussball / Musikverein
> vergleichen.

Nun auch im normalen Sportverein ohne Ligabetrieb oder im Musikverein 
ohne Auftritt sollte man seine Sportart oder sein Instrument 
einigermaßen beherrschen. und das kostet Zeit. Viel Zeit. Aber da hat 
man eben auch meistens wöchentlich einen oder mehrere feste 
Trainingszeiten. Nicht wie beim Hobby das man auch mal Monate lang gar 
nicht ausübt.

Ich finde der Hauptunterschied ist aber, dass man beim Instrument oder 
Sport "nur" auswendig lernen und dann viel üben muss.
Bei Elektronikhobbys reicht das nicht. Zum Nachbauen schon, aber wenn 
man weiter kommen möchte, dann sollte man Physik verstanden haben. Und 
auch dann sind das noch viele Teilbereiche die man gleichzeitig können 
sollte. Schaltplan, Layout, Handwerk wie Bestücken, Software, vielleicht 
eine HDL, ...

Jürgen S. schrieb:
> Das Problem bei den Elektronikprojekten ist, dass es keine organisierte
> Anfängerschulung für Jugendliche gibt

Stimmt, aber das ist glaube ich der Vielfalt geschuldet. Das ist eben 
nicht wie Klavier oder Volleyball. Was soll eine Elektronikausbildung 
umfassen? Eigentlich müsste da zusätzlich zu Elektronik auch Physik 
drinnen sein, und Software, und uC und ... und ganz schnell sind wir bei 
einem Studium.

Jürgen S. schrieb:
> weil die
> Beispiele "Musik" und "Sport" eben klar zeigen, dass es nur der zu was
> bringt, der eine gute Ausbildung hatte.

Nein, das finde ich nicht. Es gibt gerade bei Musik viele Autodidakten. 
Da zählt vor allem wie viel Zeit man in Praxis, also Üben, investiert 
hat. Bei einer Ausbildung wie einem Musiklehrer da fällt das Üben eben 
einfacher weil das verpflichtend ist. Die Eltern zahlen Zaster und dann 
muss das Kind auch üben. Aber wenn die Motivation passt geht das auch 
ohne Ausbildung ganz gut.

Jürgen S. schrieb:
> Nur wer sich der Kritik stellt und sie zur Verbesserung
> nutzt, kommt am Ende auch voran.

Natürlich, das ist immer so. Ich spiele jetzt seit grob 15 Jahren 
Badminton (nur als Hobby ohne Liga) und habe mehrere Jahre am Anfang 
falsche Techniken verwendet. Irgendwann muss man das dann 
disziplinierter lernen und wird belohnt durch bessere Leistung und 
weniger Schulterprobleme.

Jürgen S. schrieb:
> Wer aber schon bei der ersten Dissonanz den Verein, die Band oder das
> Forum wechselt, könnte ein Problem bekommen: Er flüchtet von einer Blase
> in die andere, bis er eine gefunden hat, wo er der "local hero" ist. Das
> Internet macht da z.B. viel möglich und mindert nach meinem Eindruck die
> Kritikfähigkeit: Während ein Sportler lernt, dass der Trainer den ganzen
> Tag an ihm herummeckert und nach Fehlern sucht, also nicht die 95% lobt
> die funktionieren, sondern die 5% Technikfehler anmahnt, die nicht gut
> klappen, ist es in den Internetblasen so, dass man für alles, was man
> tut, irgendwie Bestätigung bekommt, weil der dislike-Button fehlt.

Nein, ich glaube der Zusammenhang ist anders. Ich bin der Meinung, dass 
viele "jungen Leute" leider eine sehr geringe Frustrationstolleranz 
besitzen. Und das fängt bei den ganz kleinen Kindern an wie ich jetzt 
schon oft beobachten konnte (ich war mal Lehrer).
Ich glaube das liegt daran, dass Kinder überbehütet sind und Eltern 
glauben sie täten dem Kind das Beste wenn sie ihm immer alles geben oder 
ihm immer bei Allem sofort helfen. Das ist vielleicht auch eine Art 
Ablasshandel. Viele Eltern haben zwar Kinder, möchten sich damit aber 
nicht groß beschäftigen. Die gucken lieber ins Telefon oder den 
Fernseher oder gehen Arbeiten nur um sich den teuren Urlaub und das 
dicke Auto zu leisten. Das Kind ist dann eben "da". Man will sich aber 
trotzdem beim Kind gut stellen und eben auch das schlechte Gewissen 
erleichtern. Das Beste was man einem Kind oder generell einem anderen 
Menschen schenken kann ist Zeit. Man könnte mit dem Kind etwas basteln, 
spazieren gehen durch den Wald, dem Kind die Welt erklären. Das ist aber 
unbequem und man kann nicht dabei aufs Telefon gucken. Um aber trotzdem 
was Gutes für das Kind zu tun schenkt man Sachen. Dinge. Und glaubt dann 
dass man genug für das Kind getan hat.
Kinder sind nicht dumm, die durchschauen das. Die lernen, dass sie nur 
etwas jammern müssen und schon bekommen sie was sie wollen.
Was fehlt ist, dass Kinder sich mit wenigen und sehr einfachen 
Spielsachen/Dingen beschäftigen müssen. Denn dabei entwickelt man 
Kreativität und auch Frustrationstolleranz. Ich meine so Dinge wie 
Bauklötzcken die alle gleich aussehen. Dann muss das Kind selber kreativ 
werden und selber etwas Bauen. Die Lego Ritterburg ist da schon falsch. 
Da wird nur nachgebaut was Andere sich ausgedacht haben. Klar, ist auch 
nicht ganz schlecht weil die Motorik geübt wird, aber nur eine Kiste 
volle Legosteine wäre besser. Und es muss nicht mal Spielzeug sein. Ein 
Sandkasten ist schon super. Da kann man Burgen bauen und Tunnel, ... 
oder auch draussen in der Natur ein kleiner Bach. Den kann man 
Aufstauen, versuchen eine Brücke zu bauen, ...
Das sind alles Aufgaben die nicht sofort funktionieren. Selbst die Burg 
im Sandkasten wird erstmal einstürzen. Das ist aber nicht schlimm, 
daraus lernt das Kind. Und nach ein paar Tagen oder Wochen baut es 
größere und stabilere Burgen. Falsch wäre es, wenn die Eltern sehen, 
dass die Burg eingestürzt ist und dann dem Kind ein anderes Spielzeug 
geben weil das Kind ja offensichtlich mit dem Sandkasten nicht zurecht 
kommt. Dadurch wird es nicht lernen sich längere Zeit mit einem Ding 
auseinanderzusetzen und Rückschläge zu verkraften.

Aber du hast da teilweise auch Recht, das was ein Lehrer machen sollte 
ist auch wichtig. Nämlich nicht übermäßig loben. Man lobt nur Dinge die 
wirklich lobenswert sind. Und dabei sollte man auch einbeziehen was in 
welchem Alter üblich ist. Mit 6 Jahren ist es normal, dass sich Kinder 
die Schuhe zubinden können. Oder dass die auch vor der Schule schon die 
Zahlen kennen und vielleicht auch + und - im Zahlenraum bis 10 
beherrschen. Dafür braucht es kein Lob. Gelobt werden sollten aber 
kreative Ideen. Wenn das Kind etwas gebastelt hat. Egal wie schlimm 
schlecht das aussieht, dafür musste es kreativ werden. Wenn das Kind ein 
paar Bauklötzliche übereinanderstapelt und auf den selbstgebauten Turm 
stolz ist, dann ist das mehr wert wie wenn es die Legoburg nach 
Anleitung zusammengesteckt hat.

Tja und das Internet ... da ist es eben so, dass man sehr schnell 
unliebsamen Dingen entkommen und sich schöneren Dingen widmen kann. Also 
genau das was Eltern dem Kind nicht bieten sollten. Nur wenig Spielzeug 
und Ablenkung vermeiden. Das Kind soll sich nicht wenn etwas nicht 
funktioniert vor den Fernseher setzen können. Sondern es soll so lange 
weiterbasteln bis das funktioniert was es will.
Im Internet sind das aber ältere Kinder/Jugendliche/Erwachsene die das 
alles selbst entscheiden können. Ich denke wer es in dem Alter nicht 
gelernt hat sich länger auch mit schwierigen Themen auseinanderzusetzen, 
der wird das nur sehr schwer lernen.
Und dann gibt es noch Foren wie dieses im Speziellen. Da kommen oft 
Leute daher, die machen ihr erstes Elektronikprojekt, haben genau Null 
Ahnung, stellen sehr einfache Fragen oder Fragen die schlecht zu 
beantworten sind. Und statt denen freundlich zu sagen was sie anders 
machen sollten oder ihnen zu helfen kommen dann Deppen daher die sie 
beschimpfen.
Gratulation, dadurch vergrault man Leute die vielleicht mit etwas Hilfe 
und Freundlichkeit begeisterte Bastler geworden wären. Die denken sich 
dann über uns hier dass wir alle so beleidigende schlecht gelaunte 
Elektronikdeppen sind und suchen sich ein anderes Hobby.

Jürgen S. schrieb:
> Das Ergebnis sind schlecht gemachte Videos mit schlecht gemachter Musik,
> in denen schlecht gemachten stunts, schlecht gemachte Akrobatik und eben
> auch schlecht gemachte Elektronik und vor allem schlecht gemachter
> Gesang präsentiert werden.

Das finde ich OK. Ich finde das gut wenn Leute sich mit etwas 
beschäftigen. Da kommen eben am Anfang keine Meisterwerke bei rum. Aber 
das ist normal. Und es ist sehr viel besser wie wenn diese Leute nichts 
machen und nur vor der Glotze hängen würden. Warte ein paar Jahre, 
vielleicht sind die dann gut. Meine ersten Elektronikgehversuche sehen 
auch grauenhaft aus. Damals war ist stolz darauf.
Aber speziell im Internet muss man unterscheiden ob diese Videos die du 
ansprichst tatsächlich von Anfängern stammen die da schlicht ihren 
Fortschritt dokumentieren, oder ob das große Werbefirmen sind die billig 
Klicks einsammeln. Das ist nämlich leider auch so ein Trend.
Man nehme eine hybsche Frau, gebe ihr einen Lötkolben und lass sie 5 
Minuten etwas triviales zusammenbauen. Unterlege das mit zeitgemäßer 
Musik, dann noch ein reißerisches Titelbild und schon gibt es Klicks. 
Das ist leider ein Geschäftsmodell. Nicht nur mit Frauen und Elektronik, 
eigentlich auf allen Themenfeldern auf denen man Klicks einsammeln kann. 
Ich bin Angler und was glaubst du wie viele Angelvideos mit 
leichtbekleideten Frauen zu finden sind? Das ist die Kommerzialisierung 
des Internets.

Jürgen S. schrieb:
> Wir brauchen daher auch eine Elektronikvergleichsshow in der sich Leute
> mit ihren Projekten bewerben können und jemanden, der den Buzzer
> bedient! :-)

Auch dafür wird sich ein Publikum finden. Egal was es ist, wenn damit 
Geld verdient werden kann wird es gemacht. Das zeigt leider auch wie 
oberflächlich unsere Gesellschaft ist, denn dass sich damit Geld 
verdienen lässt bedeutet, dass es konsumiert wird.

Duke Scarring schrieb:
> Früher (tm) gab es sowas in großem Stil und nannte sich AG Junger
> Techniker und Naturforscher:

Dafür bin ich zu jung^^ aber ja, sowas bräuchte man. Die Ausbildung muss 
gar nicht so irre tief sein wenn sie sehr breit ist. Sowas wie 
Grundwissen Menschheit und dazu zähle ich auch ein Grundverständnis in 
Bio, Chemie, Mathe, Physik.
Ist nur leider kaum Geld mit zu verdienen und wird daher nicht gemacht. 
Sowas müsste ein Staat verpflichtend machen weil ihm Bildung wichtig 
ist.

von Markus F. (mfro)


Lesenswert?

Gustl B. schrieb:
> Angelvideos

Was ist langweiliger als Angeln?

Beim Angeln zuschauen!


(SCNR)

von Gustl B. (-gb-)


Lesenswert?

Markus F. schrieb:
> Was ist langweiliger als Angeln?
>
> Beim Angeln zuschauen!

Da gebe ich dir vollkommen Recht. Angeln ist bei mir eher ein Alibi um 
mal raus zu gehen, mich in die Sonne zu setzen und Bücher zu lesen.

: Bearbeitet durch User
von Fpgakuechle K. (Gast)


Lesenswert?

> Und dann gibt es noch Foren wie dieses im Speziellen.
> Und statt denen freundlich zu sagen was sie anders machen sollten oder
> ihnen zu helfen kommen
> dann Deppen daher die sie beschimpfen.
> Gratulation, dadurch vergrault man Leute die vielleicht mit etwas Hilfe  > und 
Freundlichkeit begeisterte Bastler geworden wären.
> Die denken sich dann über uns hier dass wir alle so beleidigende schlecht > 
gelaunte Elektronikdeppen sind und suchen sich ein anderes Hobby.

Diese Beschreibung stellt die Dinge auf den Kopf.

Es sind nicht die Foren die den Möchtegerns das Hobby 'wegnehmen',
es sind die Möchtegerns selbst bei 'zuviel' Schwierigkeiten "die Flinte 
ins Korn werfen" und so ihr Möchtegern-Hobby beenden ...

Und weil man sich das (mglw. temporäres) Scheitern nicht eingestehen 
will, wird angeblich fehlende Hilfestellung und Demotivation durch 
'Platzhirsche' als Ursache für das eigene Versagen resp. mangelnde 
Vorbereitung und Selbstertüchtigung vorgeschoben.

Es ist eben ähnlich, wie man es in Deutschland täglich beim 
(Berg-)Wandern erlebt. Da kammen Sommerfrischler an, die sich einmal im 
Jahr
wie die Gipfelstürmer vom Schlage Reinhold Messner fühlen wollen.
Dann stürmen sie per Seilbahn auf den ersten Gipfel bspw. Zugspitze und 
glauben, das so ein Seilbahngipfel sie berechtigt, ohne die passende 
Vorbereitung 'echte Wanderungen' zu unternhemen.

Was soll man da als 'Wanderertüchtigter Einheimischer' machen? Die 
Sommerfrischler sehendes Auge ins Verderben rennen lassen, weil, die 
Bergwacht 'braucht ja auch was zum Üben'?! Oder dann gibt man
Hinweise auf die Unzweckmäßigkeit ihrer Aktivitäten? Sogleich wird man 
als arroganter Besserwisser verschrieen! Oder gar der Diskriminierung 
beschuldigt, wenn man hinweist, das die Person resp. deren Tross zu 
fett, zu alt oder einfach zu falsch ausgerüstet ist um eine mehrstündige 
Wanderung durch schroffes Gelände erfolgreich abzuschliessen. Oder die 
'Hiesigen' werden als Abzocker beschimpft, weil man ja die 
Ticketeinnahmen nicht verwendet, um auf allen Gipfel eine Rolltreppe zu 
legen.

Ganz toll diejenigen, die dann grummeln, das sie das nächste Mal in die 
Schweiz, Frankreich, Nepal oder sonstwo zu fahren, weil man dort "viel 
freundlicher sei".
Denen kann man nur Grüße an die Schweizer, Franzosen, Nepali oder 
sonstwenn mitgeben. Wer nicht die Bereitschaft mitbringt, sich selbst 
durch 'Durchbeißen' die Fähigkeiten zum Gipfelsturm beizubringen, wird 
auch anderswo nur die Gipfel 'Bezwingen' auf die eine Seilbahn (für 
überambitionierte 'Städter') führt.

von Achim S. (Gast)


Lesenswert?

Fpgakuechle K. schrieb:
> Diese Beschreibung stellt die Dinge auf den Kopf.

Nö, die Beschreibung war völlig korrekt.

Fpgakuechle K. schrieb:
> Und weil man sich das (mglw. temporäres) Scheitern nicht eingestehen
> will, wird angeblich fehlende Hilfestellung und Demotivation durch
> 'Platzhirsche' als Ursache für das eigene Versagen resp. mangelnde
> Vorbereitung und Selbstertüchtigung vorgeschoben.

Nichts mit "angebliche Demotivation", die ist für jeden neutralen Leser 
des neuen Teils dieses Threads ganz real und offensichtlich. Nur zwei 
Teilnehmer sind hartnäckig vom Gegenteil überzeugt.

Thorsten E. hat vor einer Woche als Neu-Einstieg in diesem Forum eine 
ganz normale Anfängerfrage gestellt. Er hat sich zu Beginn dafür 
entschuldigt, dass er einen alten Thread wieder aufmacht, hat begründet, 
warum er das tut, und dann sein Problem beschrieben.

Weltbester FPGA-Bongo hat ihn daraufhin mit einer (inzwischen 
gelöschten) Entgegnung niedergebügelt, die in nichts auf die Frage von 
Thorsten einging. Zum ursprünglichen Eröffnungsbeitrag des Threads von 
Carl hätte das vielleicht noch als Antwort gepasst, denn der 
ursprüngliche Eröffnungsbeitrag hat auch in meinen Augen Kritik verdient 
- TO Carl kauft sich ein undokumentiertes Board und jammert dann, dass 
das board nicht dokumentiert ist.

Aber das ist anderthalb Jahre her, und selbst daraus hatte sich damals 
nach holprigem Start eine gute Diskussion ergeben. Wenn die Kritik von 
weltbester FPGA Bongo für die längst abgeschlossene Diskussion mit Carl 
gedacht war, dann hätte er das bitteschön auch klar machen sollen. Als 
Antwort auf Thortens Einstiegsfrage war sie jedenfalls klar daneben.

Fpgakuechle K. schrieb:
> Es sind nicht die Foren die den Möchtegerns das Hobby 'wegnehmen',
> es sind die Möchtegerns selbst bei 'zuviel' Schwierigkeiten "die Flinte
> ins Korn werfen" und so ihr Möchtegern-Hobby beenden ...

Es ist echt beeindruckend, wie genau du schon nach drei Beiträgen in 
einem Thread von Thorsten weißt, dass er ein Möchtegern-Hobbyist ist, 
der bei der ersten Schwierigkeit aufgibt. Ich habe nicht deine 
hellseherischen Fähigkeiten und kann im Augenblick nicht erkennen, dass 
er die Flinte ins Korn geworfen und sein Hobby beendet hat. Was sein 
aktuelles Problem angeht hat er stattdessen selbst seinen Fehler 
gefunden und behoben (versehentlich den falschen Gehäusetyp fürs FPGA 
gewählt - wem ist das als Anfänger nicht mal passiert). Kann es sein, 
dass du Frust aus anderen Diskussionen mit anderen Teilnehmern hier auf 
einen neu eingestiegenen Teilnehmer überträgst?

Was du als "Flinte ins Korn werfen" interpetierst ist Thorstens 
Erklärung, in Anbetracht des Umgangstons, mit dem er hier begrüßt wurde, 
nicht in diesem Forum teilnehmen zu wollen. Das ist nicht damit 
gleichzusetzen, dass er sich von seinem neuen Hobby FPGA abwendet - auch 
wenn ihm manche hier schon nach seiner ersten Frage bescheinigen, dass 
das zu anspruchsvoll für ihn sei und er es lieber bleiben lassen soll. 
Seine Reaktion auf die "freundliche Begrüßung" hier ist imho völlig 
nachvollziehbar und authentisch. Es ist genau das, was Gustl schrieb:

Gustl B. schrieb:
> dadurch vergrault man Leute die vielleicht mit etwas Hilfe
> und Freundlichkeit begeisterte Bastler geworden wären.

von Fpgakuechle K. (Gast)


Lesenswert?

Achim S. schrieb:
> Fpgakuechle K. schrieb:
>> Es sind nicht die Foren die den Möchtegerns das Hobby 'wegnehmen',
>> es sind die Möchtegerns selbst bei 'zuviel' Schwierigkeiten "die Flinte
>> ins Korn werfen" und so ihr Möchtegern-Hobby beenden ...
>
> Es ist echt beeindruckend, wie genau du schon nach drei Beiträgen in
> einem Thread von Thorsten weißt, dass er ein Möchtegern-Hobbyist ist,
> der bei der ersten Schwierigkeit aufgibt. Ich habe nicht deine
> hellseherischen Fähigkeiten und kann im Augenblick nicht erkennen, dass
> er die Flinte ins Korn geworfen und sein Hobby beendet hat.

Das hat nichts mit Hellseherei zu tun, das ist eine einfache 
Interpretation der benutzen Formulierung "frustierend" und "nicht zur 
eigentlichen Programmierung gekommen". Man bekommt halt nicht nur die 
'Sonnenseiten' der FPGA-Entwicklung zu spüren, man kann sich keine 
Rosinen picken und dem Rest einen "'Forum/Community' ins 
Selbstausbeutung"überlassen,  man muß das Gesamtpaket annehmen wenn man 
ernsthaft in diesem Themenbereich arbeiten will.

Und wer erste Lernfortschritte als 'Frust' erlebt ... sorry ein 
tatsächlicher Anfänger freut sich über den ersten kleinen Fortschritt 
und sei es nur der Wechsel der Farbe am programmieradapter. Denn das 
zeichnet 'Anfängergeist' aus, gespannte Neugier und Entdeckerdrang und 
Auskosten selbstgemachter Fortschritte. Eben 'Spass an der Technik' und 
nicht 'Frust'.
 https://www.blueprints.de/artikel/selbst-bewusst-sein/der-anfaengergeist.html

Es ist nunmal Alltagsgeschäft,  an der Toolchain zuaerbeiten respektive 
Umwandlung der Filetypen *.vhd, *.v *.ucf, *.xco über *.ngd, *.ncd zu 
*.bin, und dann zu *.hex, *.bit, *.prom. Das sind die Grundlagen, wer 
das Erlernen derselben als "Frust" empfindet, sollte doch ein Einsehen 
haben, das das nichts für ihn ist.

> Weltbester FPGA-Bongo hat ihn daraufhin mit einer (inzwischen
> gelöschten) Entgegnung niedergebügelt, die in nichts auf die Frage von
> Thorsten einging.

Randbemerkung: Eine Argumentation, die mit einer Verballhornung des 
Usernamens beginnt, zeugt nicht gerade vom Willen zur Sachdiskussion.

Wenn ich mich recht erinnere (Noch ne Randbemerkung: es hilft einer 
Diskussion überhaupt nicht, einen thematisierten Beitrag zu löschen und 
dann die Diskussion "weiterlaufen zu lassen") wurde hier keiner grundlos 
"niedergebügelt", sondern mit deutlichen Worten die unprofessionelle 
Vorgehensweise angeprangert als weitgehend Unkundiger ein Board mit 
mangelnden Support zu kaufen. Und zu glauben, mit dem Boardkauf wäre 
alles in Sachen 'Hausaufgaben' und Vorbereitung erledigt. Das sind 
völlig berechtigte Hinweise, wie der Fragesteller seine Arbeitsweise 
verbessern könnte wenn er wöllte.

> Da aberWas du als "Flinte ins Korn werfen" interpetierst ist Thorstens
> Erklärung, in Anbetracht des Umgangstons, mit dem er hier begrüßt wurde,
> nicht in diesem Forum teilnehmen zu wollen.

Also ich sehe es in etwa so: 60% der Beiträge hier sind selbstgefällige 
Moralpredigten, 30% sind Konstruktive Vorschläge und schlimmstenfalls 
10% diesen Threads könnten als kritisch im 'Umgangston' bezeichnet 
werden.

Der Frager geht zu 0% auf die 30% 'sachdienliche Hinweise ein, benutzt 
aber die 10% 'Klartext' um den Forum  ein Zeugnis mit den Stichworten 
"Querulanten, Besserwissern, nicht weltoffen, flame" auszustellen und 
mit account-wechsel und sofortigen Abgang zu drohen. In einem solchen 
Verhalten kann ich nicht wirklich ein reales Interesse an der 
Einarbeitung in Thematik FPGA erkennen.

von Ente (Gast)


Angehängte Dateien:

Lesenswert?

...

von Carl (Gast)


Lesenswert?

> Ente (Gast)
Ja, die Stammtischbrüder haben den Thread gekapert. Der letzte sinnvolle 
Post war vom 27.12 etwa auf der Hälfte dieses Threads.

von Achim S. (Gast)


Lesenswert?

Fpgakuechle K. schrieb:
> Es ist nunmal Alltagsgeschäft,  an der Toolchain zuaerbeiten respektive
> Umwandlung der Filetypen *.vhd, *.v *.ucf, *.xco über *.ngd, *.ncd zu
> *.bin, und dann zu *.hex, *.bit, *.prom.

Klar ist es das. Und trotzdem kann einem Anfänger der Einstieg in die 
Toolchain manchmal frustrierende Erlebnisse bereiten. Mag sein, dass es 
dir nie so ging, aber den meisten anderen geht es meiner Erfahrung nach 
beim Einstieg so. Und dann ist es hilfreich, wenn man in einem Forum um 
konstruktiven Austausch dazu bitten kann und Unterstützung erhält. Genau 
das ist in meinen Augen die wesentliche Basis dieses Forums. Thorsten 
hat nicht geschrieben "macht mir meine Hausaufgaben", sondern er hat 
gefragt, ob jemand eine Anregung für ihn hat. Wie schon mehrfach gesagt 
wurde: wem diese Art von Anfängerfrage zu trivial ist, der kann sie 
einfach unbeantwortet lassen. Ihn stattdessen niederzubügeln und dafür 
virtuelle Consultant-Honorare in Rechnung zu stellen ist einfach 
unnötig.

Fpgakuechle K. schrieb:
> Randbemerkung: Eine Argumentation, die mit einer Verballhornung des
> Usernamens beginnt, zeugt nicht gerade vom Willen zur Sachdiskussion.

Ach je: da habe ich tatsächlich aus dem P ein B gemacht. Es war ehrlich 
ein Versehen, das ein Stück weit damit zu tun hat, dass der Username von 
"Weltbester FPGA-Pongo" etwas länglich ist. Wenn ich nach den möglichen 
Deutungen von Pongo google kann ich auch kaum nachvollziehen, was an 
Bongo demgegenüber abschätziger ist. Aber da siehst du mal, dass selbst 
ein simpler Schreibfehler beim Gegenüber als Verballhornung und 
Unsachlichkeit ankommen kann.

Verglichen damit finde ich übrigens z.B. deinen Beitrag
> um hier eine
> Hohl-Phrase "vom Apfel essen" selbstgefällig und eitel ins Forum zu
> k*tz*.
wesentlich agressiver und unsachlicher. Es wäre schön, wenn du die selbe 
Sensibilität, mit der du meinen Schreibfehler als "Verballhornung" 
identifizierst, auch gegenüber anderen Forumsteilnehmern an den Tag 
legen würdest.

Fpgakuechle K. schrieb:
> Wenn ich mich recht erinnere (Noch ne Randbemerkung: es hilft einer
> Diskussion überhaupt nicht, einen thematisierten Beitrag zu löschen und
> dann die Diskussion "weiterlaufen zu lassen") wurde hier keiner grundlos
> "niedergebügelt"

Und offenbar sehen das außer dir und dem " Weltbester FPGA-Pongo" eine 
ganze Reihe Leute anders. Insbesondere kam es bei dem betroffenen 
Neuling sehr offensichtlich als Niederbügeln an. Und genau das war sein 
Grund, wieder aus dem Forum zu verschwinden, nicht der von dir 
wiederholt unterstellte Frust in der Sache und mangelnder 
Durchhaltewillen.

Fpgakuechle K. schrieb:
> Also ich sehe es in etwa so: 60% der Beiträge hier sind selbstgefällige
> Moralpredigten, 30% sind Konstruktive Vorschläge und schlimmstenfalls
> 10% diesen Threads könnten als kritisch im 'Umgangston' bezeichnet
> werden.

Was du als kritisch im Umgangston betrachtest kam bei Thorsten als ein 
unangemessenes Niederbügeln an. Und nochmal: offenbar können eine Reihe 
Teilnehmer an dieser Diskussion seinen Standpunkt mindestens so gut 
nachvollziehen wie deinen.

Fpgakuechle K. schrieb:
> Der Frager geht zu 0% auf die 30% 'sachdienliche Hinweise ein, benutzt
> aber die 10% 'Klartext' um den Forum  ein Zeugnis mit den Stichworten
> "Querulanten, Besserwissern, nicht weltoffen, flame" auszustellen und
> mit account-wechsel und sofortigen Abgang zu drohen

Es ist eine normale menschliche Eigenschaft, auf einen persönlich 
Angriff stärker zu reagieren als auf einen neutralen Kommentar. Und das 
erst recht, wenn auf die eigene Frage keinerlei Hilfe in der Sache kam 
sondern allein dieses Niederbügeln (auch wenn du es nicht als solches 
anerkennen willst). Tatsächlich hilfreiche Aspekte zu Thorstens Frage 
kamen erst, nachem er bereits vergrault war und sich aus dem Forum 
verabschiedet hat.

Er hat übrigens auch nicht mit seinem Abgang "gedroht". Eine Drohung 
wäre  z.B. gewesen "wenn ihr euch weiter so benehmt, dann verschwinde 
ich aus dem Forum". Das wäre tatsächlich ein Stück weit lächerlich. Aber 
er hat keine Drohung ausgesprochen sondern einfach die veständliche 
Rückmeldung gegeben, dass ihm gleich die erste Antwort auf seine erste 
Frage die Lust genommen hat, weiter hier im Forum aktiv zu sein. 
Nochmal: für mich ist das eine völlig nachvollziehbare Reaktion. Und 
wenn du schreibst

Fpgakuechle K. schrieb:
> Es interessiert keine Sau, ob du Dich in diesem oder in irgendeinem
> anderen Forum an-, ab- oder ummeldest.
dann sprich bitte nur für dich, nicht für das Forum. Ich für meinen Teil 
hätte tatsächlich lieber mit Thorsten seine FPGA-Fragen diskutiert als 
diese traurige Pseudodiskussion zu führen.

Und ich bleibe übrigens dabei:
Fpgakuechle K. schrieb:
> Schuld an der -für mich
> verständlichen- Genervtheit ist u.a. dieser 'Bettel-Brief' der hier
> kürzlich eingeworfen wurde:
Ihr zwei habt Thorsten für etwas rausgeekelt, was nichts mit seiner 
Frage zu tun hatte sondern was euch in anderen Diskussion mit anderen 
Leuten auf die Nerven gegangen war, und was ihr an ihm raugelassen 
habt...

Carl schrieb:
> Ja, die Stammtischbrüder haben den Thread gekapert. Der letzte sinnvolle
> Post war vom 27.12 etwa auf der Hälfte dieses Threads.

Du meinst die Frage von Thorsten? Oder deine Beschimpfung von 
"weltbester FPGA-Pongo", die zurecht gelöscht wurde? Die hat leider 
wesentlich dazu beigetragen, wie sich dieser Thread entwickelt hat.

von Fpgakuechle K. (Gast)


Lesenswert?

Achim S. schrieb:
> Fpgakuechle K. schrieb:
>> Es ist nunmal Alltagsgeschäft,  an der Toolchain zuaerbeiten respektive
>> Umwandlung der Filetypen *.vhd, *.v *.ucf, *.xco über *.ngd, *.ncd zu
>> *.bin, und dann zu *.hex, *.bit, *.prom.
>
> Ihn stattdessen niederzubügeln und dafür
> virtuelle Consultant-Honorare in Rechnung zu stellen ist einfach
> unnötig.

Weder niederbügeln noch wurde ihm irgendwas in Rechnung gestellt. Es 
wurde aber aufgezeigt wie er sich selbst diese essentielle Information 
zur FPGA-configfile download beschaffen kann. Oder wie man es durch Wahl 
der persönlichen 'Lernumgebung' verhinder nkann, das solche 
Trivialfragen überhaupt 'aufs Gemüt drücken'.

> Fpgakuechle K. schrieb:
>> Randbemerkung: Eine Argumentation, die mit einer Verballhornung des
>> Usernamens beginnt, zeugt nicht gerade vom Willen zur Sachdiskussion.
>
> Ach je: da habe ich tatsächlich aus dem P ein B gemacht. Es war ehrlich
> ein Versehen, das ein Stück weit damit zu tun hat, dass der Username von
> "Weltbester FPGA-Pongo" etwas länglich ist. Wenn ich nach den möglichen
> Deutungen von Pongo google kann ich auch kaum nachvollziehen, was an
> Bongo demgegenüber abschätziger ist. Aber da siehst du mal, dass selbst
> ein simpler Schreibfehler beim Gegenüber als Verballhornung und
> Unsachlichkeit ankommen kann.

Tipp: Usernamen tippt man nicht nach, sondern kopiert sie per Cut&Paste 
auch Mouse-buffer genannt. Oder man vermeidet im Sinne der 
Diskussionsversachlichung ohnehing persönliche Ansprache.
>  mit der du meinen Schreibfehler als "Verballhornung"
> identifizierst, auch gegenüber anderen Forumsteilnehmern an den Tag
> legen würdest.

Ich glaub dir den Schreibfehler nicht, das ist IMHO bewußte gewähltes 
Rhetorikmittel.

> Und offenbar sehen das außer dir und dem " Weltbester FPGA-Pongo" eine
> ganze Reihe Leute anders. Insbesondere kam es bei dem betroffenen
> Neuling sehr offensichtlich als Niederbügeln an. Und genau das war sein
> Grund, wieder aus dem Forum zu verschwinden,

Ja, manche gefallen sich halt in einer Opferrolle ... und vertragen 
keinerlei Kritik, auch konstruktive nicht.

> Fpgakuechle K. schrieb:
>> Also ich sehe es in etwa so: 60% der Beiträge hier sind selbstgefällige
>> Moralpredigten, 30% sind Konstruktive Vorschläge und schlimmstenfalls
>> 10% diesen Threads könnten als kritisch im 'Umgangston' bezeichnet
>> werden.
>
> Was du als kritisch im Umgangston betrachtest kam bei Thorsten als ein
> unangemessenes Niederbügeln an. Und nochmal: offenbar können eine Reihe
> Teilnehmer an dieser Diskussion seinen Standpunkt mindestens so gut
> nachvollziehen wie deinen.

Nachvollziehen bedeudet nicht gut heißen oder als 
'Rational/vernunftbegründet' erkennen.

> Fpgakuechle K. schrieb:
>> Der Frager geht zu 0% auf die 30% 'sachdienliche Hinweise ein, benutzt
>> aber die 10% 'Klartext' um den Forum  ein Zeugnis mit den Stichworten
>> "Querulanten, Besserwissern, nicht weltoffen, flame" auszustellen und
>> mit account-wechsel und sofortigen Abgang zu drohen
> Tatsächlich hilfreiche Aspekte zu Thorstens Frage
> kamen erst, nachem er bereits vergrault war und sich aus dem Forum
> verabschiedet hat.

Nö.

> Ihr zwei habt Thorsten für etwas rausgeekelt, was nichts mit seiner
> Frage zu tun hatte sondern was euch in anderen Diskussion mit anderen
> Leuten auf die Nerven gegangen war, und was ihr an ihm raugelassen
> habt...

Soso der Link auf eine deutsprachige Anleitung zu impact der sich grad 
über den zitierten post-scriptum befindet, hat den FPGA-Enthusiasten aus 
dem Forum geekelt?! Hier noch mal für Scroll-Faule: 
https://docplayer.org/7857278-Anleitung-fuer-vhdl-tools.html

Ja klar, und am Untergang von Atlantis trägt auch diese Forum Schuld . 
Nun, ich intepretiere das letzte Zitat als Versuch Sündenböcke für 
irgendwas zu benennen. Fakt ist, das sich Frager selbst verabschiedet 
hat, ohne Not oder plausiblen Grund. Halt das übliche moralinsaure 
Kasperletheater.

von Weltbester FPGA-Pongo (Gast)


Lesenswert?

Achim S. schrieb:
> Ach je: da habe ich tatsächlich aus dem P ein B gemacht. Es war ehrlich
> ein Versehen, das ein Stück weit damit zu tun hat, dass der Username von
> "Weltbester FPGA-Pongo" etwas länglich ist.
Kaum zu glauben, wo doch durch "Zitieren" der Name automatisch 
übernommen wird. Scriptfehler? Ärgerlicher, als ein Vertipper oder ein 
Wortwitz ist aber der Fakt, dass mein Beitrag gelöscht wurde und nun 
jeder behaupten kann, er enthielte Beschimpfungen, wie du das 
fälschlicherweise tust und damit erneut Tatsachen verdrehst:

Achim S. schrieb:
> Du meinst die Frage von Thorsten? Oder deine Beschimpfung von
> "weltbester FPGA-Pongo", die zurecht gelöscht wurde? Die hat leider
> wesentlich dazu beigetragen, wie sich dieser Thread entwickelt hat.
Von welchen Beschimpfungen sprichst du hier? Du scheints hier nicht nur 
den Inhalt der Kritik, sondern auch die schreibenden Personen zu 
verwechseln. Kann es sein, dass du mit (deinen) Nicknames durcheinander 
kommst?

Achim S. schrieb:
> Weltbester FPGA-Bongo hat ihn daraufhin mit einer (inzwischen
> gelöschten) Entgegnung niedergebügelt,
Der TE wurde nicht niedergebügelt, sondern es wurde ganz sachlich 
dargestellt, dass ich (und im Nachgang auch noch andere) etwas irritiert 
sind, dass ein Frager, sich ein undokumentiertes Board kauft und sich 
dann hier darüber beklagt, was eben doppelt unlogisch ist. Sinn hätte es 
gemacht, wenn er dort nachgefragt, gegoogelt oder in den entsprechenden 
Herstellerforum gefragt hätte. Dort wird diese board nämlich ausführlich 
beschrieben und diskutiert. Erst wenn man weltweit nichts findet, macht 
es Sinn, hier zu fragen, obwohl sein Projekt mit Microcontrollertechnik 
rein gar nichts zu tun hat und damit schon die 3. Unlogik aufwirft.

> Zum ursprünglichen Eröffnungsbeitrag des Threads von
> Carl hätte das vielleicht noch als Antwort gepasst, denn der
> ursprüngliche Eröffnungsbeitrag hat auch in meinen Augen Kritik verdient
> - TO Carl kauft sich ein undokumentiertes Board und jammert dann, dass
> das board nicht dokumentiert ist.

Ach was ?????? - Woher die Meinungsänderung? Und merkst du daß du dir 
mehrfach widersprichst? Meine Kritik war also berechtigt.

Ich halte sie auch aufrecht, denn das leichtfertige Verduften des TE und 
das angedrohte Verduften seines Verteidigers (also dir) ist eben jene 
fehlerhafte Verhaltensweise, die sehr fragwürdig ist. Sie passt nicht zu 
dem komplexen Thema der digitalen Elektronik in FPGAs (mit und ohne 
Micorcontroller-Koppelung) und das unlogische Vorgehen des TE passt 
schon gar nicht dazu. Bei den komplexen Fragestellungen der 
FPGA-Entwicklung ist es dringend erforderlich, Ursache-Wirkungen zu 
verstehen, um an den richtigen Stellen nachbohren und -suchen zu können. 
Wer aber schon Probleme hat, die Ursache mangelnder Information bei 
einer so einfachen Sache wie Dokumenten- und Informationsbeschaffung zu 
erkennen, der wird kaum zu mehr fähig sein, als zur 
Blinkschaltungsentwicklung.

Im Übrigen nehme ich belustigt zur Kenntnis dass die von DREI Schreibern 
hier direkt oder indirekt geäußerte Vermutung, der stillschweigenden 
Rückkehr des Beleidigten voll und ganz zuzutreffen scheint. Es scheint 
also zwei Personen zu geben, die mit Kritik nicht umgehen können und 
daher lautstark und mimosenhaft aus dem Forum verschwinden. (in der 
großzügigen Annahme, dass es tatsächlich zwei Personen und hier nicht 
die eine Sockenpuppe die andere verteidigt.

> Aber das ist anderthalb Jahre her,
Für die Wahrheit ist es nie zu spät, sagt Konfusius.

von Achim S. (Gast)


Lesenswert?

Weltbester FPGA-Pongo schrieb im Beitrag #6934216:
> Kaum zu glauben, wo doch durch "Zitieren" der Name automatisch
> übernommen wird.

Der Beitrag, auf den ich mich bezog, war bereits gelöscht. (Offenbar 
fand auch ein Moderator ihn nicht so toll.) Und mit gelöschten Beiträgen 
funktioniert das Zitieren meines Wissens leider nicht mehr.

Weltbester FPGA-Pongo schrieb im Beitrag #6934216:
> Von welchen Beschimpfungen sprichst du hier?

An dieser Stelle spreche ich von der Beschimpfung, die Carl dir 
gegenüber ausgesprochen hat. (ist ebenfalls geslöscht, aber in 
Fragmenten noch als Zitat in 
Beitrag "Re: XC6SLX16 Spartan 6 Entwicklungsboard" enthalten ist).

Auch wenn du es nicht glauben magst: für mich läuft die Trennlinie bei 
dieser Diskussion über die Forenkultur nicht bei der Frage "wer ist für 
oder wer ist gegen weltbester FPGA-Pongo". Sondern bei der Frage: "wer 
bemüht sich um eine konstruktive Diskussion in einem angemessenen Ton 
und wer nicht. Und da war der Beitrag von Carl vom 28.12. mindestens 
eben so zu kritisieren wie dein Beitrag, der Carls Replik ausgelöst hat. 
Ich gehe davon aus, dass er Thorsten mindestens genau so abgechreckt hat 
wie die "Begrüßung" durch dich.

Weltbester FPGA-Pongo schrieb im Beitrag #6934216:
> Ach was ?????? - Woher die Meinungsänderung? Und merkst du daß du dir
> mehrfach widersprichst?

Nein, keine Meinungsänderung und kein Widerspruch. Der Eröffnungsbeitrag 
von Carl vor zweieinhalb Jahren hatte durchaus Aspekte, die man 
kritisieren konnte. Aber trotz der unglücklichen Eröffnung hatte sich 
aufgrund der konstruktiven Reaktionen der damals Teilnehmenden daraus 
eine gute Diskussion entwickelt, die schon 2019 auslief.

Der Neueinstieg von Thorsten in diesen Thread hatte keinen dieser 
Kritikpunkte verdient. Aber trotzdem begrüßt du ihn mit einer Kritik, 
die allenfalls vor zwei Jahren als Antwort auf Carl angemessen gemesen 
wäre, aber in keiner Weise als Antwort auf die neue Frage von Thorsten.

Weltbester FPGA-Pongo schrieb im Beitrag #6934216:
> Für die Wahrheit ist es nie zu spät, sagt Konfusius.

Und die Wahrheit ist: du hast Ärger über einen zweieinhalb Jahre alten 
Beitrag von Carl als Antwort auf Thorstens Frage verpackt, ohne dass 
dieser mit den Kritikpunkten am ursprünglichen Eröffnungsbeitrag oder 
mit irgendwelchen anderen Bettelthreads etwas zu tun hatte.

Weltbester FPGA-Pongo schrieb im Beitrag #6934216:
> Im Übrigen nehme ich belustigt zur Kenntnis dass die von DREI Schreibern
> hier direkt oder indirekt geäußerte Vermutung, der stillschweigenden
> Rückkehr des Beleidigten voll und ganz zuzutreffen scheint.

Du willst nahelegen, ich sei Thorsten und/oder Carl und/oder Gustl 
und/oder Bernhard K. unter anderem Namen? Ich muss dich enttäuschen. Ich 
schreibe seit 2010 hier mit. Ich habe jeden einzelnen meiner Beiträge 
mit Achim S. überschrieben und kein einziges Mal einen anderen Nicknamen 
benutzt.

Da ich wie du hier als Gast aktiv bin besteht eine gewisse 
Verwechslungsgefahr mit anderen Teilnehmern. (z.B. mit Achim Seeger, der 
zwischenzeitlich hier aktiv war, oder mit dem angemeldeten User "achs", 
der aber freundlicherweise seinen Nick von Achim S. auf A.S. geändert 
hat). Wenn du die Forensuche mit "Achim S." bemühst wirst du auf rund 
1800 Beiträge stoßen. Dabei steht längst nicht bei allen der Nick Achim 
S. für meine Person, aber zumindest bei einem Gutteil davon. Wie gesagt: 
alle meine Beiträge sind unter dem Nick Achim S. zu finden, einen 
anderen Nick habe ich nie benutzt.

Du glaubst mir nicht und hältst mich weiter für ein Pseudonym von 
Carsten oder Thorsten oder Gustl oder Bernhard...? Weil du ansonsten 
anerkennen müsstest, dass mehrere Leute deinen Beitragsstil kritisieren? 
Tja,tut mir leid. Ich kann nur schreiben, wie es ist. Wenn du das nicht 
glauben kannst oder willst, kann ich es leider nicht ändern.



Fpgakuechle K. schrieb:
> Soso der Link auf eine deutsprachige Anleitung zu impact der sich grad
> über den zitierten post-scriptum befindet, hat den FPGA-Enthusiasten aus
> dem Forum geekelt?!

Nein: dieser Link sicher nicht. Das war tatsächlich mal ein 
konstruktiver und hilfreicher Beitrag von dir. Und wären wenigstens 50% 
des von dir Geschriebenen vergleichbar konstruktiv gewesen, dann hätte 
ich es nicht kritisiert.

Aber leider finden sich in deinen Beitägen in diesem Thread überwiegend 
Stellen wie diese:

Fpgakuechle K. schrieb:
> Und mal so als Hinweis, welchen
> Wert hier ein in Selbstausbeutung' getipperter Hinweis hat, der
> Stundensatz eines Fpga-Entwicklers beginnt so bei 72€.

Fpgakuechle K. schrieb:
> Genau das macht der sogenannte 'Hilfesuchende' hier, spaltet das Forum
> und hetzt die Gruppen gegeneinander auf, weil er selbst (derzeit) dem
> Thema 'FPGA' nicht gewachsen ist.

Fpgakuechle K. schrieb:
> wenn der angeblich
> Hilfe-suchende wohl nur eine Bühne für sein Ego sucht.

Fpgakuechle K. schrieb:
> Dazu kommt
> die Weigerung oder Unfähigkeit die Hilfefunktion zu benutzen.

Fpgakuechle K. schrieb:
> Das
> Problem ist der Frust und der Versuch des 'Hilfesuchenden' diesen
> selbsterzeugten Frust auf andere abzuwälzen,

Fpgakuechle K. schrieb:
> um hier eine
> Hohl-Phrase "vom Apfel essen" selbstgefällig und eitel ins Forum zu
> k*tz*.

All das, nachdem der Neueinsteiger Thorsten gerade mal eine Frage 
gestellt hat? Und das hältst du nicht für demotivierend gegenüber 
jemanden, der sich für das Forum interessiert? Und das hältst du nicht 
für abstoßend gegenüber jemanden, der den Diskussonsstil hier 
kritisiert?

Lustig, dass du nicht diese Stellen als mögliche Gründe fürs Raus-Ekeln 
zitierst hast sondern ausgerechnet eine Stelle, an der du tatsächlich 
konstruktiv etwas hilfreiches beigetragen hast. Muss ich aber eigentlich 
nicht extra sagen, das weißt'e eh selbst.

von Weltbester FPGA-Pongo (Gast)


Lesenswert?

Achim S. schrieb:
> Wenn du die Forensuche mit "Achim S." bemühst wirst du auf rund
> 1800 Beiträge stoßen.
1800! Wow!

Und wieviele davon haben einen Sachwert? Summiere ich die hiesigen 
Beiträge dieser Kampfdiskussion mit FPGA-Küchle, so finde ich eine 
zweistellige Zahl, die sich allein auf formelle Kontroversen beziehen. 
Da komme ich z.B. gar nicht dran. Hier nicht und anderswo auch nicht. 
Ich teile jetzt mal frech 1800/10 um auf die echte Zahl wertvoller 
Beiträge zu kommen :-)

von Markus F. (mfro)


Lesenswert?

Habt ihr eigentlich sonst nix zu tun?

von Achim S. (Gast)


Lesenswert?

Weltbester FPGA-Pongo schrieb im Beitrag #6934700:
> Und wieviele davon haben einen Sachwert?

Kannst ja mal selbst nachzählen :-)

Weltbester FPGA-Pongo schrieb im Beitrag #6934700:
> Summiere ich die hiesigen
> Beiträge dieser Kampfdiskussion mit FPGA-Küchle, so finde ich eine
> zweistellige Zahl, die sich allein auf formelle Kontroversen beziehen.

Stimmt: das hier ist eine Diskussion, aus der ich mich normalerweise 
fernhalte, weil sie nur öde ist und zu nichts führt. Das wurde mit den 
Antworten am 27.12. eingeleitet und war spätestens ab dem 28.12. 
offensichtlich.

Aber nachdem das nach über eine Woche noch weiter geköchelt hat, habe 
ich mich an folgendes gehalten:

Fpgakuechle K. schrieb:
> Klar gibt es einen gewissen Zwang hier zu
> schreiben/antworten/Falschbehauptungen entgegen zutreten.

Das habe ich gestern und heute getan, und jetzt war's das in diesem 
Thread auch für mich. Ich wünsche noch viel Spaß beim weiteren 
Breitwalzen des Themas...

von Weltbester FPGA-Pongo (Gast)


Lesenswert?

.. sagt der, der es breit gewalzt hat ...
geil :-)

von Weltbester FPGA-Pongo (Gast)


Lesenswert?

Markus F. schrieb:
> Habt ihr eigentlich sonst nix zu tun?

während der laufenden Synthesen entsteht Langeweile und da surft man 
etwas herum.

Was ich von dem Spartan LX 16 System halte, hatte ich oben schon kund 
getan. Das ist nutzloser, als dieser gesamte thread.

Wer hierher kommt, der sollte entweder ein gebrauchtes S6 mit einem 75er 
nehmen, weil dort noch etwas hineinpasst. Der 75er ist glaube ich der, 
der mit der ISE gerade noch bearbeitet werden kann. Wenn nicht, besser 
gleich einen S7 oder A7. Das Arty35 kostet z.B. neu soviel wie viele 
gebrauchte S6er eval Systeme, hat aber von der Menge her annähernd 
soviel drin, wie ein S6-45, kann aber schon fast doppelt so schnell.

von Fpgakuechle K. (Gast)


Lesenswert?

Weltbester FPGA-Pongo schrieb im Beitrag #6934780:

> Was ich von dem Spartan LX 16 System halte, hatte ich oben schon kund
> getan. Das ist nutzloser, als dieser gesamte thread.
>
...
> , besser
> gleich einen S7 oder A7. Das Arty35 kostet z.B. neu soviel wie viele
> gebrauchte S6er eval Systeme, hat aber von der Menge her annähernd
> soviel drin, wie ein S6-45, kann aber schon fast doppelt so schnell.

Eben. In den Foren-threads zu Einsteigerboards wie:

Beitrag "Entscheidungshilfe FPGA-Board für Anfänger"
Beitrag "Einsteiger FPGA board"
Beitrag "FGPA Board für Anfänger"
Beitrag "Anfänger sucht FPGA Entwicklungsboard für verschiedene Projekte"
Beitrag "Gutes FPGA Starter-Kit?"
Beitrag "FPGA - Wie einsteigen?"
Beitrag "FPGA-Board bis 300€ für den Einstieg"

gibt es immer Empfehlungen wie:
-Erst die Entwicklungstools verschiedener Hersteller antesten, um 
herauszufinden, welches am wenigstene Probleme macht -> Hat der Frager 
nicht gemacht.
-Auf Boards setzen, zu dem man kein extra Programmer braucht -> Hat der 
Frager nicht gemacht.
-Auf etablierte Board-Hersteller zu achten, da sind Kinderkrankenheiten 
wie falsche Pulls an den Mode/cfg-Pins
  (hatte ich mal bei einem ZTEX-board, dank Lötkolben im Haus kein 
Problem) unwahrscheinlich -> Hat der Frager nicht gemacht.
-auf Boards/Systeme mit unfangreicher Debug-Umgebung wie signaltab zu 
achten -> wahrscheinlich auch unbekannt für den Frager, sontware wäre er 
zu Altera gelangt
-auf OS-Support zu achten -> ansonsten steht man schnell vor dem 
Problem, das W10-Systeme die nötige alten-SDK und tools mglw schlecht 
unterstützt, oder die nötigen Tools nicht auf Systeme jenseits W8 laufen 
(war lange bei ISE so)
-auf aktuell marktrelevante Toolschain zu achten, wie gesagt, spartan 6 
ist an die von Xilinx eingestellte ISE gebunden (ISE ist für neue 
Designs 'not recommended')
-auf spezelle für Einsteiger vorbereitete Tutorials/Videos und reference 
designs zu achten -> ebenfalls Fehlanzeige


Das ist grad so, als ob einer in die Winterlichen Berge mit dem 
erstbesten Untersatz fährt ohne wenigstens darauf zu achten, das 
Winterreifen aufgezogen sind und nach dem unvermeidlichen Feststecken im 
Schnee/Morast beim nächsten Bauernhof vorbeikommt und fordernd verlangt, 
das man die Karre 'aus dem Dreck zieht'.

Warum schreibt man seitenlange Anleitungen, wie man möglichst 
reibungsarm in das Thema einsteigt, wenn dann doch alles ignoriert 
wird?!

Dazu kommen auch noch einige Verletzungen der Netiquette durch den hier 
seit 2008 angemeldeten Frager, wie:

-Beschreibendes Topic wählen (wäre hier "programmerfile in ISE erzeugen" 
gewesen, nicht 'Exotenboard xyz'
-Infos wie Screenshoot beifügen oder auf Nachfrage nachreichen
-nicht verschiedene Probleme in einer Anfrage vermischen, also eben 
nicht an einen alten thread anhängen, besser neuen thread und in diesem 
auf den Verlinken wenn sinnvoll
-deutlich zeigen was man schon selbst versucht hat das Problem zu löse 
nbspw. einen screenshot mit dem eingestellten Paramtern beifügen.

von J. S. (engineer) Benutzerseite


Lesenswert?

Fpgakuechle K. schrieb:
>> gleich einen S7 oder A7.
Nun ja, man kann auf einem alten FPGA eigentlich genau so seine ersten 
Schaltungen testen, wie auf einem Neuen. Allerdings ist es wundersamer 
Weise so, dass sie kaum billiger sind, was ich eigentlich erwartet 
hätte. Z.B. kostet ein gebrauchtes Spartan3-500SE board fast das, was 
ich 2005 (!) als Neupreis gezahlt habe. Schon etwas schräg ...

Christoph Z. schrieb:
> Früher (tm) war das heranführen Jugentlicher an Elektronik eine der
> Hauptstandbeine der Amateurfunker.
Interessanter Einwand! Als ich zwischen 7 und 11 war, haben wir neben 
einem solchen Amateurfunker gewohnt. Der war Elektroniker (Techniker) 
arbeitete als Fernsehtechniker und -installateur und war auch für den HR 
tätig. Ich war auch mal bei dem und habe mir das alles zeigen lassen. 
Hatte mehrere Antennen und Geräte, von denen ich damals nicht viel 
verstand. Gemerkt habe ich mir so einen Code wie "DG7AMF" oder so 
ähnlich - da kenne ich mich nicht mit aus. Letzlich waren es bei mit 
aber eher die Kosmos und Philips-Baukästen, die ich ab dem 8. Lebensjahr 
hatte, die mich zur Elektronik gebracht haben. Um 1980 habe ich dann mal 
eine "Funkschau" in die Hände bekommen, stieß auf Conrad und Völkner und 
dann ging das Basteln los.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.