Forum: FPGA, VHDL & Co. [CPLD] Brauche Empfehlung für CPLDs / Software


von Andre G. (andgst01)


Lesenswert?

Schönen Samstag Nachmittag,

ich würde mich gerne (wieder) mit CPLDs beschäftigen und könnte die 
Hilfe der kollektiven Intelligenz hier brauchen.

Ich habe schon mal mit CPLDs gearbeitet, was für Chips das genau waren 
weiß ich leider nicht mehr, aber an die Design-Software erinnere ich 
mich ziemlich gut:
Die Software hieß "ispDesignExpert" von Lattice.
Leider kann ich dazu im Internet nichts finden, die "aktuelle" Software 
von Lattice für die alten CPLDs (ispLever) kostet jährlich ca 600 €.

Also kommen CPLDs von Lattice leider nicht in Frage.

Deshalb frage ich ob mir vielleicht hier jemand passende CPLDs & 
Design-Software empfehlen kann.

Ich habe folgende Anforderungen an die Design Software:
- kostenlos, ohne zeitlich begrenzte Lizenzen, ohne Anmeldung oder 
Registrierung
- muss offline funktionieren (ist ja heutzutage leider nicht mehr 
selbstverständlich)
- grafisch ("Zeichnen" von Logikschaltungen die dann in das CPLD geladen 
werden können) (ispDesignExpert konnte das!)

An die CPLDs selbst habe ich folgende Anforderungen:
- keine BGA oder sonstige "beinlose" Gehäuse
- 5 Volt Versorgungsspannung und Logikpegel
- Signale bis ca. 70 MHz sollten verarbeitbar sein

von Rente mit 76 (Gast)


Lesenswert?

> Deshalb frage ich ob mir vielleicht hier jemand passende CPLDs &
> Design-Software empfehlen kann.

Ja, ich. Lattice Diamond und MachXO2. Das passt zu allen deinen 
Wünschen, außer zur Speisespannung. 5 V ist 80er und sowas von out...

von Coolprogrammer (Gast)


Lesenswert?

Andre G. schrieb:
> Deshalb frage ich ob mir vielleicht hier jemand passende CPLDs &
> Design-Software empfehlen kann.

XILINX WebPack, kost' nix. Passt gut zu den Coolrunner CPLDs,
die aber leider nicht in 5V Technik zu haben sind (?).

Gibt aber auch noch andere XILINX CPLDs .... fällt mir gerade
nicht ein ....

von Andre G. (andgst01)


Lesenswert?

Ja, das das mit den 5V nichts wird dachte ich mir schon ...

Ist aber nicht die wichtigste Anforderung ...

von Michael B. (laberkopp)


Lesenswert?

Andre G. schrieb:
> 5 Volt Versorgungsspannung und Logikpegel

Dann tun es nur die alten Chips, die es zwar nicht mehr bei den Firmen 
aber noch über eBay gibt, mit der alte Software, ispDesignExpert fliegt 
irgendwo noch gratis rum,
z.B. im Buch Schaltungstechnik mit GALs von Bitterle/Nosswitz aus dem 
Franzis Verlag.

Zwar waren Mach3 Mach4 etc. von AMD besser als ispLSI2064 etc, aber 
Lattice hat die ja aufgekauft und plattgemacht, der ispDesignExport 
konnte die nicht.

von Andre G. (andgst01)


Lesenswert?

Gut, dann werde ich mir die Lattice Diamond Software und die Xilinx 
WebPack Software mal ansehen und je nach Verfügbarkeit der Chips 
entscheiden.

Michael B. schrieb:
> ispDesignExpert fliegt
> irgendwo noch gratis rum,
> z.B. im Buch Schaltungstechnik mit GALs von Bitterle/Nosswitz aus dem
> Franzis Verlag.

Gut, ich werde mal schauen ob ich das Buch irgendwo auftreiben kann.


Mir ist schon klar dass 70 MHz UND 5 V eine blöde Kombination sind.
Für schnellere Signale sind kleinere Pegel einfach "besser".
3,3V gehen ja noch ...


Danke für die schnellen und hilfreichen Antworten!

von Falk B. (falk)


Lesenswert?

Andre G. schrieb:

> Die Software hieß "ispDesignExpert" von Lattice.
> Leider kann ich dazu im Internet nichts finden,

Die gab es mal irgendwo versteckt auf deren Website, ist aber schon 
lange her. Wenn man neu anfängt, braucht man den uralten Kram nicht.

> Ich habe folgende Anforderungen an die Design Software:
> - kostenlos, ohne zeitlich begrenzte Lizenzen, ohne Anmeldung oder
> Registrierung

Quark. Eine Registrierung braucht man bei den meisten, so what!

> - grafisch ("Zeichnen" von Logikschaltungen die dann in das CPLD geladen
> werden können) (ispDesignExpert konnte das!)

Können die meisten.

> An die CPLDs selbst habe ich folgende Anforderungen:
> - keine BGA oder sonstige "beinlose" Gehäuse
> - 5 Volt Versorgungsspannung und Logikpegel

Vergiss es. Das können nur noch uralte %V CPLDs. Alles was FPGA oder 
aktuelle CPLDs sind, können MAXIMAL 3,3V. Das ist auch OK.

> - Signale bis ca. 70 MHz sollten verarbeitbar sein

70 MHz sagt alles und nichts. Einen 4 Bit Zähler kann jedes olle FPGA 
mit 70 MHz betreiben, eine komplexe State machine nicht unbedingt. Aber 
alle modernen FPGAs/CPLDs können das.

Welche Marke du wählst kann ich dir nicht raten, am Ende kann man mit 
allen was anfangen, Xilinx, Altera, Lattice etc.

von Bernhard K. (bkom)


Lesenswert?

Die ATF-15xx CPLD Familie mit 5V Supply von Mikrochip(Atmel)
wird noch produziert

https://www.microchip.com/en-us/products/fpgas-and-plds/spld-cplds

Wincupl heist die Software, AAAber nicht grafisch
https://www.microchip.com/en-us/development-tool/WinCUPL

Hier kostenlos zum runterladen für Windows XP/Vista/7/8/10
https://www.microchip.com/en-us/products/fpgas-and-plds/spld-cplds/pld-design-resources

von Falk B. (falk)


Lesenswert?

Bernhard K. schrieb:
> Die ATF-15xx CPLD Familie mit 5V Supply von Mikrochip(Atmel)
> wird noch produziert

Stimmt, ist aber auch kurz vor dem Aussterben. Warum sollte man mit 
sowas anfangen? Nur wegen den 5V bei den IOs? Es gibt Pegelwandler, 
wenn man sie denn braucht.

von Andre G. (andgst01)


Lesenswert?

Bernhard K. schrieb:
> Die ATF-15xx CPLD Familie mit 5V Supply von Mikrochip(Atmel)
> wird noch produziert
>
> https://www.microchip.com/en-us/products/fpgas-and-plds/spld-cplds
>
> Wincupl heist die Software, AAAber nicht grafisch
> https://www.microchip.com/en-us/development-tool/WinCUPL
>
> Hier kostenlos zum runterladen für Windows XP/Vista/7/8/10
> 
https://www.microchip.com/en-us/products/fpgas-and-plds/spld-cplds/pld-design-resources

Ohhh!
Die gibt ja als PLCC Gehäuse!
Dafür gibt es bedrahtete Sockel!
Das ist SEHR GUT!
(SMD kann ich noch nicht bei mir zu Hause löten, dass müsste ich in der 
Firma machen, deshalb bevorzuge ich THT.)

Da verzichte ich GERNE auf die "grafische Programmierung".
VHDL kenne ich eh schon, also sollte das kein Problem sein.

von Bernhard K. (bkom)


Lesenswert?

Falk B. schrieb:
> Stimmt, ist aber auch kurz vor dem Aussterben. Warum sollte man mit

Ah ok - da weißt du mehr als digikey - sollte da im Datenblatt
nicht dann stehen "not recommended for new designs"?
https://www.digikey.de/de/products/detail/microchip-technology/ATF2500C-15JU/1008462

Aber klar, wenn die nun keiner mehr kauft werden die auch
bald nicht mehr produziert werden...

Andre G. schrieb:
> VHDL kenne ich eh schon, also sollte das kein Problem sein.

Leider kein VHDL glaub ich, sondern eine eigene Beschreibungssprache ...

: Bearbeitet durch User
von Andre G. (andgst01)


Lesenswert?

Bernhard K. schrieb:
> Aber klar, wenn die nun keiner mehr kauft werden die auch
> bald nicht mehr produziert werden...

Dann muss ich fleißig bestellen ...
;-)

Bernhard K. schrieb:
> Andre G. schrieb:
>> VHDL kenne ich eh schon, also sollte das kein Problem sein.
>
> Leider kein VHDL glaub ich, sondern eine eigene Beschreibungssprache ...

Ja, ich habe gerade ein paar der Beispiel-Dateien angeschaut und VHDL 
ist das definitiv nicht.
Naja, sollte schon irgendwie machbar sein.

Ich will ja keine super-komplexen Sachen damit machen (sonst würde ich 
gleich einen FPGA nehmen).
Ich nehme die CPLDs nur um 74er / 4000er Logik-ICs nachzubilden 
(natürlich nicht pinkompatibel und das Timing wird auch ein wenig anders 
sein) die ich brauche und die gerade nicht verfügbar sind.
Ein FPGA wäre dafür overkill, einzelne UND und ODER Gatter sehr 
aufwändig, daher habe ich mich für CPLDs entschlossen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Mir wäre die Zeit zu schade, mich in mehr als 30 Jahre alte Technik und 
eine ausgestorbene Sprache einzuarbeiten. Und dann an jeder Ecke zu 
spüren, dass das Gelump eben schon 30 Jahre alt ist.

Mein zeitgemäßer Tipp für den Einstieg heißt MachXO2 von Lattice.
Fazit: Logik und Flipflops in Hülle und Fülle.

von FPGA-Benutzender (Gast)


Lesenswert?

Andre G. schrieb:
> Ein FPGA wäre dafür overkill, einzelne UND und ODER Gatter sehr
> aufwändig, daher habe ich mich für CPLDs entschlossen.
Ein Großteil dessen, was heute als "PLD" verkauft wird, ist nicht 
klassische PLD-Technologie, sondern ganz genau das Gleiche, was man als 
FPGA verkauft. Es gibt meist nur keine Prozessoren, Multiplier, 
Block-RAMs oder gar hardware Cores. Die werden einfach weggelassen, die 
dafür nötige Komplexität der Interconnections abgespeckt und der 
entstehende Platz (bis zu 30% Ersparnis allein bei Verschaltung) mit 
weiterer Logik zugeballert. Damit hat ein "PLD" fast die doppelte 
Logikdichte wie eine gleich großes FPGA. Damit kommt man bei geringem 
Logigbedarf auf Chipkosten weit unter 1 Euro für PLD und kann so 
einfache und hoch taktbare Logik an den Mann bringen.

Und man muss nicht mal die Tools ändern.

Lothar M. schrieb:
> Mir wäre die Zeit zu schade, mich in mehr als 30 Jahre alte Technik und
> eine ausgestorbene Sprache einzuarbeiten.
So ist es.

von Bernhard K. (bkom)


Lesenswert?

mhh, wenn ich so beim Mouser oder Digikey grade mal eben so
rein schaue:
Die "Uralten" CPLD ATF15xx  scheinen alle eher verfügbar zu sein
Die neuen scheinen derzeit schwerer lieferbar zu sein ...
 Ist aber nur mein erster Eindruck, keine Marktanalyse ....

von Andre G. (andgst01)


Lesenswert?

Bernhard K. schrieb:
> mhh, wenn ich so beim Mouser oder Digikey grade mal eben so
> rein schaue:
> Die "Uralten" CPLD ATF15xx  scheinen alle eher verfügbar zu sein
> Die neuen scheinen derzeit schwerer lieferbar zu sein ...
>  Ist aber nur mein erster Eindruck, keine Marktanalyse ....

Ja, weil niemand (außer mir natürlich) die klotzigen alten 5V Dinger 
haben will.

von FPGA-Benutzender (Gast)


Lesenswert?

Andre G. schrieb:
> Ja, weil niemand (außer mir natürlich) die klotzigen alten 5V Dinger
> haben will.

Hehe, kannst sie dir ja auch Lager legen und dann noch weitere Posts im 
Netz veranlassen von Leuten, die angeblich die Dinger einsetzen. Dann 
machen die Distris irgendwann einen "last buy call" und schmeißen es aus 
dem Probgramm. Die Broker und bachelor-Einkäufer von Firmen kriegen dann 
das im Beschaffunssystem gesetzte Alarmglöckchen und wollen noch schnell 
ihre Lager aufstocken und hamstern. Dann wird das Zeug knapp und du 
kannst deine für das Dreifache verkaufen. Hat ein Kollege von mir bei 
ABB Mannheim mal gemacht: Er hat einfach die Chips die abgekündigt waren 
schlagartig weggekauft, dass es keine mehr gab. Nach seinen Angaben hat 
er die Chips zum halben Preis genommen abzüglich Rabatt. Das waren über 
80.000DM für Chips die im 100er Preis 200.000 Wert waren. Dann hat ABB 
Eschborn und ABB Alzenau festgestellt, daß sie ja noch Produktlinien 
damit bestückt haben und nur ohne Redesign liefern können, wenn sie noch 
welche Ordern. Der hat dann eine GmbH gegründet, sie für das 8fache der 
GmbH verkauft und die hat mit Aufschlag für das 12fache geliefert. Er 
ist zwar nur die halbe Zahl losgeworden aber die eben für mehr, als das 
10fache an Umsatz. Macht über 350.000 DM Gewinn, die er sich dann über 5 
Jahre ausgezahlt hat, um danach die GmbH zu schließen. So macht man mal 
schnell eine Viertel-Million. Die Rest-Chips gingen dann preiswert an 
ihn zurück. Die hat er dann mit zur Siemens genommen, zu der er 
gewechselt ist. Die hat nämlich den Service für diese PLC in einigen 
Ländern mitübernommen und die ABB-Systeme ersetzt  und gepflegt. Die 
haben sie wahrscheinlich aber auch nicht mehr eingesetzt.

5V Chips braucht man heute aber wirklich keine mehr. Alles andere drum 
herum läuft ja auf 3.3V oder darunter und nur um ein paar 5V oder 
12V-Pegel zu haben, gibt es Converterschaltungen zu 1,- das Stück.

von Marius B. (oida)


Lesenswert?

Den ATF1502 ist Pin kompatibel zu Altera MAX7032, den ATF1504 zu Altera 
MAX7064 und den ATF1508 zu Altera MAX7128.
Die für MAX7000 Serie kompilierten Files sind auch für ATF150x gut !
Dafür verwendet man den Altera Tool Quartus. Allerdings nur die alten 
Versionen bis 13.0sp1 unterstützen die MAX7000 Serie. Quartus 13.0sp1 
gibt es aber immer noch auf Intel Seite zum download (Intel hat Altera 
gekauft). Muss Du gucken.
Man kann damit (auch) graphisch programmieren. Und außer die normalen 
logischen Funktionen (logik, counters, FFs, MUX-DEMUX, ....) hat man 
auch gleich die gesamte 7400 Serie. Simulieren geht natürlich auch.
Nach erfolgreiche Compilation gibt es in den (Projekt)\output_files 
Verzeichnis eine .pof File. Damit werden die MAX7000 über JTAG 
programmiert.

Die ATFs werden aber mit eine .jed Datei programmiert. Mit dem Programm 
pof2jed.exe von Atmel machst Du aus der .pof eine .jed Datei.

Für ATF150x Programmierung braucht man dann den Microchip Programmer 
ATDH1150USB.   Und das Programm  ATMISP . In den ATMISP wird ein Device 
Chain mit der richtigen ATFxxx CPLD definiert und mit den .jed File wird 
den ATF programmiert.

Die Programmen pof2jed.exe und ATMISP sind von Microchip Seite zu 
downloaden. Programmer ATDH1150USB gibt es auch beim Digikey zu kaufen.

von Andre G. (andgst01)


Lesenswert?

Marius B. schrieb:
> ...

Danke für die Erklärung, all das hätte ich sicher in ein paar Wochen 
hier gefragt ...

FPGA-Benutzender schrieb im Beitrag #6995273:
> 5V Chips braucht man heute aber wirklich keine mehr. Alles andere drum
> herum läuft ja auf 3.3V oder darunter

Bei mir nicht.
Bei mir sind die 3,3V die Ausnahme wenn ich mal einen Spezial IC 
verwende der eben keine 5V aushält.

Aber ich weiß dass ich die Ausnahme bin, einer der wenigen Leute die im 
21. Jahrhundert noch 74er und 4000er Logik ICs und EEPROMs als 
"LookUp-Table" verwenden.
Und ich kann nicht einmal behaupten dass das aus "Nostalgie" ist, als 
ich geboren wurde (1999) galt diese Technologie sicher schon als 
veraltet.
Aber das ist ein anderes Thema ...

von Rente mit 76 (Gast)


Lesenswert?

> Aber das ist ein anderes Thema ...
Und warum bastelst du dann nicht mit Röhren? Die UEL51 wäre das Teil 
deiner Wahl!

von Rente mit 76 (Gast)


Lesenswert?

Zur Not geht auch eine RV12P2000...

von Andre G. (andgst01)


Lesenswert?

Rente mit 76 schrieb:
>> Aber das ist ein anderes Thema ...
> Und warum bastelst du dann nicht mit Röhren? Die UEL51 wäre das Teil
> deiner Wahl!

Irgendwie haben mich Röhren nie wirklich interessiert, keine Ahnung 
warum ...

von FPGA-Benutzender (Gast)


Lesenswert?

Andre G. schrieb:
> Aber ich weiß dass ich die Ausnahme bin, einer der wenigen Leute die im
> 21. Jahrhundert noch 74er und 4000er Logik ICs und EEPROMs als
> "LookUp-Table" verwenden.
> Und ich kann nicht einmal behaupten dass das aus "Nostalgie" ist, als
> ich geboren wurde (1999) galt diese Technologie sicher schon als
> veraltet.

Warum tust du (dir) das (an)?
Es gibt keinen Grund mit langsamer und stromfressender 5V-Technologie zu 
arbeiten. Das Argument der Fehlersicherheit infolge großer Strukturen 
greift schon lange nicht mehr, weil man heute TMR-Techniken en masse 
hat, die echte HW-Redundanz und SW-Redundanz bieten, welche im System 
eine wesentlich höhere Sicherheit liefern, als die scheinbare Resistenz 
der Technologie.

Man haut sich seine 5V-Technik durch eine optimierte 3,3 oder 2,5V insel 
und schneller Lokigkonverter nach Außen. Das ist sicherer, billiger und 
einfacher zu bauen. Eine Schaltung mit 2,5V-Converter, 2,5V-FPGA und 
2,5V DDR Ram ist in allen IO-Richtungen schneller, als ein 5V-FPGA mit 
Converter auf RAM oder andere Chips.

von Andre G. (andgst01)


Lesenswert?

FPGA-Benutzender schrieb im Beitrag #6996154:
> Andre G. schrieb:
>> Aber ich weiß dass ich die Ausnahme bin, einer der wenigen Leute die im
>> 21. Jahrhundert noch 74er und 4000er Logik ICs und EEPROMs als
>> "LookUp-Table" verwenden.
>> Und ich kann nicht einmal behaupten dass das aus "Nostalgie" ist, als
>> ich geboren wurde (1999) galt diese Technologie sicher schon als
>> veraltet.
>
> Warum tust du (dir) das (an)?

Warum machst du das was du so machst?

Weil es dich interessiert oder dir Spaß macht, oder?

Klar ist das nicht effizient, nicht leistungsoptimiert, nicht "modern", 
...
Aber mir geht es nicht darum "das technisch Bestmöglichste" zu machen, 
mir reicht es wenn es funktioniert  ;-)

Und es ist doch erstaunlich was man mit solcher "Steinzeittechnologie" 
machen kann ...

Und nur weil etwas dem aktuellen Stand der Technik entspricht heißt das 
noch lange nicht dass jeder Bastler "gezwungen" ist diese Technologie zu 
nutzen.
Jeder kann in seiner Freizeit tun und lassen was er/sie will.
Das ist ja das tolle daran: Niemand schreibt einem vor was für absurde 
Spezifikationen man einhalten muss oder was die maximale Stromaufnahme 
sein darf.

Oder liege ich da falsch?

von MaWin (Gast)


Lesenswert?

FPGA-Benutzender schrieb im Beitrag #6996154:
> Es gibt keinen Grund mit langsamer und stromfressender 5V-Technologie zu
> arbeiten.

Es gibt oft keinen Grund, rattenschnell zu sein und mit externen 
Pegelwandlern aus 1 Chip mal eben 10 Chips zu machen, bloss weil man 
z.B. eine LED (Anzeige) ansteuern will.

Nicht jeder Hobbyist baut sich eine FPGA Farm um real time einen 
Glasfaser-Internetstrang in Kanäle zu decodieren, den TCP/IP 
Verbindungen zuzuordnen, das Protokoll zu analysieren, DES zu 
entschlüsseln, zu entzippen und auf Schlüsselwörter zu durchforsten, 
bevor sie gepatcht wieder zusammengezippt werden  und verschlüsselt in 
den Internettransfer eingeschleust werden.

Da darf man auch 2.5V FPGA nutzen und sich Gedanken um den 
Stromverbrauch der abertausenden von 19" Racks zu machen.

von Duke Scarring (Gast)


Lesenswert?

Andre G. schrieb:
> Oder liege ich da falsch?
Nö, alles richtig.
Im Hobby darf man sich auch seine Transistoren selber machen oder 
Kondensatoren schnitzen...

Duke

https://www.youtube.com/watch?v=s1MCi7FliVY
https://www.youtube.com/watch?v=I1rBLonPz3U

von Andre G. (andgst01)


Lesenswert?

MaWin schrieb:
> FPGA-Benutzender schrieb im Beitrag #6996154:
>> Es gibt keinen Grund mit langsamer und stromfressender 5V-Technologie zu
>> arbeiten.
>
> Es gibt oft keinen Grund, rattenschnell zu sein und mit externen
> Pegelwandlern aus 1 Chip mal eben 10 Chips zu machen, bloss weil man
> z.B. eine LED (Anzeige) ansteuern will.
>
> Nicht jeder Hobbyist baut sich eine FPGA Farm um real time einen
> Glasfaser-Internetstrang in Kanäle zu decodieren, den TCP/IP
> Verbindungen zuzuordnen, das Protokoll zu analysieren, DES zu
> entschlüsseln, zu entzippen und auf Schlüsselwörter zu durchforsten,
> bevor sie gepatcht wieder zusammengezippt werden  und verschlüsselt in
> den Internettransfer eingeschleust werden.
>
> Da darf man auch 2.5V FPGA nutzen und sich Gedanken um den
> Stromverbrauch der abertausenden von 19" Racks zu machen.

So so ...
Machst du das in deiner Freizeit oder beruflich?
Eigentlich egal, so oder so ist das beunruhigend ...

;-)

von W.S. (Gast)


Lesenswert?

Andre G. schrieb:
> Ich habe schon mal mit CPLDs gearbeitet, was für Chips das genau waren
> weiß ich leider nicht mehr,...

Eigenartig. Normalerweise erinnert man sich an sowas. Und nun willst du 
dich wieder mit sowas beschäftigen. Ich lese das so: "ich habe kein 
Projekt vor, lediglich zuviel Freizeit".

Also, nimm dir zuerst mal etwas vor und schau dann, mit was für einem 
CPLD du das am besten lösen kannst. Sonst wird da nix draus.

W.S.

von Andre G. (andgst01)


Lesenswert?

W.S. schrieb:
> Andre G. schrieb:
>> Ich habe schon mal mit CPLDs gearbeitet, was für Chips das genau waren
>> weiß ich leider nicht mehr,...
>
> Eigenartig. Normalerweise erinnert man sich an sowas. Und nun willst du
> dich wieder mit sowas beschäftigen. Ich lese das so: "ich habe kein
> Projekt vor, lediglich zuviel Freizeit".
>
> Also, nimm dir zuerst mal etwas vor und schau dann, mit was für einem
> CPLD du das am besten lösen kannst. Sonst wird da nix draus.
>
> W.S.

Oh ich habe schon ein aktuelles (großes) Projekt an dem ich arbeite.
(Was genau sage ich lieber nicht, das gibt sonst nur wieder eine 
Diskussion über die Sinnhaftigkeit dieses Projekts)

Dafür brauche ich sehr viele Logik ICs.
Da aktuell nicht alles was man so braucht verfügbar ist dachte ich mir 
ich könnte CPLDs als "Ersatz" für die derzeit nicht verfügbaren Logik 
ICs nehmen.

von Pille (Gast)


Lesenswert?

Marius B. schrieb:
> Den ATF1502 ist Pin kompatibel zu Altera MAX7032, den ATF1504 zu
> Altera
> MAX7064 und den ATF1508 zu Altera MAX7128.
> Die für MAX7000 Serie kompilierten Files sind auch für ATF150x gut !
[..]
>
> Die Programmen pof2jed.exe und ATMISP sind von Microchip Seite zu
> downloaden. Programmer ATDH1150USB gibt es auch beim Digikey zu kaufen.

Ich danke Dir für diese wertvollen Infos

Gruß,
Pille

von Apollo M. (Firma: @home) (majortom)


Lesenswert?

Marius B. schrieb:
> Für ATF150x Programmierung braucht man dann den Microchip Programmer
> ATDH1150USB.

Es gibt Alternativen
https://www.hackup.net/2020/01/erasing-and-programming-the-atf1504-cpld/

Bernhard K. schrieb:
> Wincupl heist die Software, AAAber nicht grafisch
> https://www.microchip.com/en-us/development-tool/WinCUPL

Habe ich mal ausprobiert und hat viel Schmerz bereitet, weil hängt sich 
gerne auf, wenn im logic description file irgendwas im Format nicht 
passt.

Marius B. schrieb:
> Den ATF1502 ist Pin kompatibel zu Altera MAX7032, den ATF1504 zu Altera
> MAX7064 und den ATF1508 zu Altera MAX7128.
> Die für MAX7000 Serie kompilierten Files sind auch für ATF150x gut !
> Dafür verwendet man den Altera Tool Quartus. Allerdings nur die alten
> Versionen bis 13.0sp1 unterstützen die MAX7000 Serie.

Danke für den wertvollen Tip!

von Peter D. (peda)


Lesenswert?

Von Xilinx gibt es die XCR3128 im VQFP100.
Die haben 3,3V VCC sind aber 5V tolerant. Und sie sind CMOS, d.h. 
brauchen nur 18µA ohne Takt. Programmiert werden sie über JTAG (4 Pins).

https://www.mouser.de/ProductDetail/Xilinx/XCR3128XL-10VQ100C?qs=rrS6PyfT74div7NfjCaghA%3D%3D

von Thomas W. (diddl)


Lesenswert?

Marius B. schrieb:
> Den ATF1502 ist Pin kompatibel zu Altera MAX7032, den ATF1504 zu
> Altera
> MAX7064 und den ATF1508 zu Altera MAX7128.
> Die für MAX7000 Serie kompilierten Files sind auch für ATF150x gut !
> Dafür verwendet man den Altera Tool Quartus. Allerdings nur die alten
> Versionen bis 13.0sp1 unterstützen die MAX7000 Serie. Quartus 13.0sp1
> gibt es aber immer noch auf Intel Seite zum download (Intel hat Altera
> gekauft). Muss Du gucken.
> Man kann damit (auch) graphisch programmieren. Und außer die normalen
> logischen Funktionen (logik, counters, FFs, MUX-DEMUX, ....) hat man
> auch gleich die gesamte 7400 Serie. Simulieren geht natürlich auch.
> Nach erfolgreiche Compilation gibt es in den (Projekt)\output_files
> Verzeichnis eine .pof File. Damit werden die MAX7000 über JTAG
> programmiert.
>
> Die ATFs werden aber mit eine .jed Datei programmiert. Mit dem Programm
> pof2jed.exe von Atmel machst Du aus der .pof eine .jed Datei.
>
> Für ATF150x Programmierung braucht man dann den Microchip Programmer
> ATDH1150USB.   Und das Programm  ATMISP . In den ATMISP wird ein Device
> Chain mit der richtigen ATFxxx CPLD definiert und mit den .jed File wird
> den ATF programmiert.
>
> Die Programmen pof2jed.exe und ATMISP sind von Microchip Seite zu
> downloaden. Programmer ATDH1150USB gibt es auch beim Digikey zu kaufen.

Danke für die ganzen wertvollen Infos.

Hab bisher einiges mit den ATF1504 gemacht.
Aber die EPM7xx scheinen für mich auch interessant zu sein.
Man bekommt sie einfacher und preisgünstiger zur Zeit.

Werde mir mal das Quartus runter laden und probieren ob ich damit 
zurecht komme.

von Harald W. (Gast)


Lesenswert?

Lothar M. schrieb:
> Mir wäre die Zeit zu schade, mich in mehr als 30 Jahre alte
> Technik und eine ausgestorbene Sprache einzuarbeiten. Und dann an jeder
> Ecke zu spüren, dass das Gelump eben schon 30 Jahre alt ist.
> Mein zeitgemäßer Tipp für den Einstieg heißt MachXO2 von Lattice.
> Fazit: Logik und Flipflops in Hülle und Fülle.

Manchmal ist deine Arroganz echt unerträglich. Was machst du beruflich? 
Es scheint nicht Elektronik zu sein, denn wirtschaftliches denken und 
handeln heißt das richtige auszuwählen für die Problemlösung und 
Stückzahl. Völlig egal ob das 30 Jahre alt ist. Die Lösung muss 
innerhalb des Problemraums liegen sonst ist das over engineered, wozu 
viele Menschen neigen.

von MCUA (Gast)


Lesenswert?

EPM7xx für 30eu?

von J. S. (engineer) Benutzerseite


Lesenswert?

Harald W. schrieb:
> denn wirtschaftliches denken und
> handeln heißt das richtige auszuwählen für die Problemlösung und
> Stückzahl.
Da stimme ich zu! Aber:

>Völlig egal ob das 30 Jahre alt ist.
Die Themen Lieferbarkeit, Pflegbarkeit und Verfügbarkeit sind zentrale 
Aspekte bei der Entscheidung, welche Bauteile man einsetzt. Eine 
Sprache, die keiner mehr nutzt und kann, ist dabei ganz sicher eine 
Sackgasse. Das gleiche gilt für Chips, die schon kurz vor der 
Abkündigung stehen.

Und es ist auch keine so große Sache, eine Chip-Software (HDL,C) auf 
etwas Neues zu portieren - zumindest, wenn der Ersteller vernünftige 
Doku erschaffen hat.

von Bernhard K. (bkom)


Lesenswert?


von Boris (Gast)


Lesenswert?

Wenn's auch ein FPGA sein darf: für u.a. die Lattice ICE dinger gibts 
seit einigen jahren eine offene toolchain.

Googeln: Symbiflow bzw F4PGA:
https://f4pga.org/

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.