Forum: Analoge Elektronik und Schaltungstechnik Leicht unterschiedliche Frequenzen stabil erzeugen


von Luky S. (luky)


Lesenswert?

Ich suche für Experimentierzwecke nach einer Möglichkeit, um 2 ganz 
leicht unterschiedliche Frequenzen mit möglichst geringen, aber stabilem 
Frequenzunterschied zu erzeugen, also z.B. 10 MHz und 10,0000001MHz.
Das Tastverhältnis ist dabei egal, ich brauche im Prinzip nur eine 
Flanke, viel wichtiger wäre mir ein möglichst geringer Jitter bzw. 
korrekter ein möglichst geringe Jitterdifferenz (Was ist da der 
Fachbegriff?) zwischen den beiden Signalen.
Was wären die prinzipiellen Möglichkeiten?

von H. H. (Gast)


Lesenswert?

Luky S. schrieb:
> ich brauche im Prinzip nur eine
> Flanke,

Verzögerungsleitung.

von Klaus H. (hildek)


Lesenswert?

H. H. schrieb:
> Verzögerungsleitung

Wie mache ich damit eine zweite Frequenz: "10 MHz und 10,0000001MHz."?

von Thomas R. (Gast)


Lesenswert?

Man nehme zwei fractional synthesizer, einmal 10 MHz und einmal 
10,0000001 MHz.

von Falk B. (falk)


Lesenswert?

Luky S. schrieb:
> Ich suche für Experimentierzwecke nach einer Möglichkeit, um 2 ganz
> leicht unterschiedliche Frequenzen mit möglichst geringen, aber stabilem
> Frequenzunterschied zu erzeugen, also z.B. 10 MHz und 10,0000001MHz.

Was willst du denn damit machen?

> Das Tastverhältnis ist dabei egal, ich brauche im Prinzip nur eine
> Flanke, viel wichtiger wäre mir ein möglichst geringer Jitter bzw.

Wieviele Attosekunden sollen es denn sein?

> korrekter ein möglichst geringe Jitterdifferenz (Was ist da der
> Fachbegriff?) zwischen den beiden Signalen.
> Was wären die prinzipiellen Möglichkeiten?

DDS. Oder über SSB Modulator (10MHz Träger mit 0,1Hz moduliert).

von Kay-Uwe R. (dfias)


Lesenswert?

Luky S. schrieb:
> ich brauche im Prinzip nur eine Flanke
Exakt 50 % könnte man aus 20 MHz ableiten. Ist aber wohl nicht nötig.
H. H. schrieb:
> Verzögerungsleitung.
Mit stetig steigender Verzögerungszeit?
Unter "leicht unterschiedlichen Frequenzen" verstehe ich, dass die Phase 
durchläuft, es also eine Schwebung geben soll mit wohl 0,1 Hz. Alle 10 s 
stehen die Flanken dann wieder aufeinander.
Ich hatte gerade überlegt, ob man 10 MHz mit 0,1 Hz mischen kann, ohne 
beide Seitenbänder zu erhalten. ESB-Mischer mit 90°-Phasenschiebern, ok. 
Aber analog und mit Filtern geht das wohl nicht.
Dann wäre da noch die Version ähnlich GPSDO:
Zwei getrennte 10-MHz-OCXO an 1 Hz anbinden. Dabei einmal durch 
10,000,000 teilen, einmal durch 10,000,001. Abweichung zu groß mit 
10e-7?
Um auf 10e-8 zu kommen statt 10 MHz 100 MHz nehmen, Teiler dann 
100,000,000 und 100,000,001. Beide durch 10 teilen, sollte kein Problem 
bereiten. Zudem dann auch 50 % on/off garantiert wären.

von H. H. (Gast)


Lesenswert?

Klaus H. schrieb:
> H. H. schrieb:
>> Verzögerungsleitung
>
> Wie mache ich damit eine zweite Frequenz: "10 MHz und 10,0000001MHz."?

Gar nicht, braucht er ja nach eigener Aussage nicht.

von Luky S. (luky)


Lesenswert?

"10 MHz und 10,0000001MHz." sind 2 leicht unterschiedliche Frequenzen. 
Die brauche ich. 8MHz und 8.000001MHz gingen aber ZUM BEISPIEL auch, es 
geht mir ums Prinzip

von H. H. (Gast)


Lesenswert?

Mal wieder so eine streng geheime Geschichte...

von Kay-Uwe R. (dfias)


Lesenswert?

Luky S. schrieb:
> "10 MHz und 10,0000001MHz." sind 2 leicht unterschiedliche Frequenzen.
Ja, das passt auch zur Überschrift.
Hast du dir mal die GPSDO-Geschichte überlegt?
Was auch geht, sind zwei GPSDOs mit gleicher Frequenz. Die Abweichung 
wird irgendwann so um 1e-9 erreichen, wäre aber nicht deterministisch. 
Zur Kontrolle beide Ausgänge aufs Oszi geben. Es "bewegt" sich 
jedenfalls was und du wirst schon irgendeine Schwebung erhalten. Nur 
keine mit stabilen 0,1 Hz.

von Luky S. (luky)


Lesenswert?

Genau um die stabile Differenz geht es mir doch.... Ansonsten könnte ich 
ja auch 2 beliebige Oszillatoren mit nominell gleicher Frequenz nehmen 
und durch die Toleranzen werden sie schon leicht unterschiedliche 
Ausgangsfrequenzen erzeugen.... aber nicht stabil...

von Kay-Uwe R. (dfias)


Lesenswert?

Nachtrag:
Wenn du 100,000,000 und 100,000,001 MHz mit GPSDO generierst, wird die 
Schwebung bei 0,1 Hz liegen mit einer Toleranz von +/- 0,01 Hz. Das ist 
der Endgenauigkeit der GPSDO-Anbindung geschuldet.
Einen GPSDO, den Master, könnte man durch einen 100-MHz-OCXO ersetzen 
und den zweiten daran anbinden (mit 100,000,001-Teiler). Wäre somit nur 
geringer Aufwand und ganz ohne GPS.

: Bearbeitet durch User
von Luky S. (luky)


Lesenswert?

Etwas Offtopic: Taugen die "billigen" 150€ GPSDOs von ebay was?

von Kay-Uwe R. (dfias)


Lesenswert?

Es gäbe auch durchstimmbare PLls. Die arbeiten mit einer 
Verzögerungsleitung und so einem Schmetterlings-Drehko (Stichwort 
"Braun-VFO"). Wenn man das Teil doppel aufbaut mit gemeinsamer Referenz, 
könnte man sie fein gegeneinander verstimmen. Der Oszillator ist aber 
jeweils nur LC. Ob das ausreicht? Vlt. doch auf OCXO zurückgreifen, da 
man ja keinen großen Abstimmbereich/Fangbereich benötigt?
Muss man abwägen, welcher Aufwand gerechtfertigt ist.

von Kay-Uwe R. (dfias)


Lesenswert?

Luky S. schrieb:
> Etwas Offtopic: Taugen die "billigen" 150€ GPSDOs von ebay was?
Gibt Testberichte im Netz - demnach ist wohl nichts dran auszusetzen.
Hatte zwei Stück gekauft, als es noch unter 100 € waren.
Bei einem musste ich alle drei oder vier Becher-Elkos erneuern. Gab 
plötzlich rotes Licht und das Gehäuse ging kaum noch auseinander, die 
Teile sind leider etwas gewachsen. Sind zwar nur Pfennigartikel, aber 
auch damit sparen die Chinesen, wo sie nur können.

: Bearbeitet durch User
von Thomas R. (Gast)


Lesenswert?

Thomas R. schrieb:
> Man nehme zwei fractional synthesizer, einmal 10 MHz und einmal
> 10,0000001 MHz.

Ich meinte natürlich zwei fractional-N synthesizer!

Hier wird das erklärt:
https://www.youtube.com/watch?v=z-50pSc_wg8

von Wastl (hartundweichware)


Lesenswert?

Wenn so eine skurrile Problem- bzw. Fragestellung aufkommt
ohne dass gesagt wird für welche Aufgabe das Ganze sein soll
dann kommt einem schnell der Gedanke dass der TO nicht
wirklich weiss was er will bzw. eine völlig verirrte und/
oder fachkenntnis-lose Planung verfolgt. Dies gilt um so
mehr wenn dieser Plan hier im Forum für immer geheim bleiben
sollte.

Aber immer schön die hilfsbereiten Fachleute hier vor sich
hertreiben, das macht Spass, gell? Fördert den Forums-
Traffic und ist unterhaltsam.

von Jens D. (jensd)


Lesenswert?

Mit einem 27 MHz TCXO und zwei AD9834 kannst du zwei Frequenzen mit 
0,1005828 Hz Abstand zueinander erzeugen.

von Wastl (hartundweichware)


Lesenswert?

Jens D. schrieb:
> Mit einem 27 MHz TCXO und zwei AD9834 kannst du zwei Frequenzen mit
> 0,1005828 Hz Abstand zueinander erzeugen.

Und was machst du mit dem Jitter den so ein DDS erzeugt?

von Wastl (hartundweichware)


Lesenswert?

Thomas R. schrieb:
> Ich meinte natürlich zwei fractional-N synthesizer!

Aber du "meintest" nicht die Nebenlinien die so ein Synthesizer
erzeugt? Die blendet man einfach gedanklich aus, und voilá ....

von Jens D. (jensd)


Lesenswert?

Wastl schrieb:
> Und was machst du mit dem Jitter den so ein DDS erzeugt?

Der SIN-Ausgang geht auf einen LC-Bandpass und dann auf den internen 
Komparator. Der Jitter sollte danach im ps Bereich liegen.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

SSB "nach der Phasenmethode" mit 0,1 Hz Modulationsfrequenz ist die 
einzige sinnvolle Lösung, wenn ich die Aufgabe richtig verstanden habe.

Analog z.B. mit "Polyphasennetzwerk" erreicht man eine 
Seitenbandunterdrückung von vielleicht 30 dB. Mit digitalem 
Breitbandphasenschieber kann man auf bis zu 60 dB kommen. Aber für ein 
einziges Frequenzpaar darf es auch ein einfacher 90 Grad Phasenschieber 
sein, breitbandig ist nur für Sprachmodulation nötig.

>also z.B. 10 MHz und 10,0000001MHz
Dann entsteht noch eine dritte Frequenz von 9,9999999 MHz aber eben >30 
dB schwächer.

von Wastl (hartundweichware)


Lesenswert?

Jens D. schrieb:
> Der SIN-Ausgang geht auf einen LC-Bandpass und dann auf den internen
> Komparator. Der Jitter sollte danach im ps Bereich liegen.

Hast dir schon überlegt in welchem Abstand die Nebenlinien
vom Träger wegliegen?

von Motopick (motopick)


Lesenswert?

> im Prinzip nur eine Flanke

Es geht also darum, moeglichst umstaendlich ein Zeitraster von
10 Sekunden zu erzeugen?

> Wenn so eine skurrile Problem- bzw. Fragestellung aufkommt
> ...

+++

von Thomas R. (Gast)


Angehängte Dateien:

Lesenswert?

Wastl schrieb:
> Thomas R. schrieb:
>> Ich meinte natürlich zwei fractional-N synthesizer!
>
> Aber du "meintest" nicht die Nebenlinien die so ein Synthesizer
> erzeugt? Die blendet man einfach gedanklich aus, und voilá ....

Ein richtig dimensionierter fractional-N synthesizer hat praktisch keine 
Nebenlinien. Oberwellen sind natürlich vorhanden.
Z.B. alle Rhode & Schwarz - Generatoren.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Alles bisher gesagte geht davon aus, das beide Frequenzen auf getrennten 
Ausgängen herauskommen sollen.
Wenn beide mit gleicher Amplitude auf demselben Anschluß liegen dürfen, 
wird es noch viel einfacher. Das ist dann nur Doppelseitenbandmodulation 
mit unterdrücktem Träger. Das macht jeder Diodenringmischer.

Nochmal SSB Phasenmethode: Dazu bietet Analog Dervices integrierte 
IQ-Modulatoren an. Dazu braucht man nur noch einen 0,1 Hz sin/cos 
Generator. Dessen Frequenzstabilität geht nur sehr schwach in die 
gesamte Rechnung ein, das dürfte auch eine freischwingende 
Operationsverstärkerschaltung sein.

Noch eine Frage zu den genauen Anforderungen: Nur eine feste Frequenz 
oder womöglich wobbelbar? Stehen die 0,1 Hz fest oder müssen die 
variabel sein?

von J. S. (engineer) Benutzerseite


Lesenswert?

Wastl schrieb:
> Wenn so eine skurrile Problem- bzw. Fragestellung aufkommt
> ohne dass gesagt wird für welche Aufgabe das Ganze sein soll
> dann kommt einem schnell der Gedanke dass der TO nicht
> wirklich weiss was er will bzw. eine völlig verirrte und/
> oder fachkenntnis-lose Planung verfolgt. Dies gilt um so
> mehr wenn dieser Plan hier im Forum für immer geheim bleiben
> sollte.
Es kann ja sein, dass er die Aufgabe nicht genau beschreiben darf und 
die Lösung in der Tat die Richtige ist. Und ja, man kann ja einfach mal 
eine Realisation für diese Lösung anbieten, auch wenn man den Zweck 
nicht versteht, wobei man natürlich fragen kann, wieso jemand mit einer 
durch diffizilen Aufgabe betraut wird, wofür er offenbar keinen Ansatz 
hat ...

... und auch noch in der Beschreibung etwas vergessen hat, denn es wäre 
nänmlich zuvor eine Frage zu klären, die von den bisherigen Antworten 
nur unterschwellig behandelt wurde:

**************************************************************
MUSS DIE FREQUENZDIFFERENZ IM MITTEL GEGEN SEIN UND DÜRFEN DIE
JITTERN ODER MUSS DER PHASENVORSCHUB ZU JEDERZEIT VORHANDEN
SEIN, ALSO AUCH UNTER BERÜCKSICHTIGUNG DER FREQUENZSTABILITÄT?
**************************************************************

Das wäre die 1. Rückfrage an meinen Auftraggeber, wenn ich das zu 
bearbeiten hätte. Der Punkt ist nämlich der: Mit 2 Pfaden und Ausgängen 
kriegt man nie und nimmer eine Frequenz so stabil hin, dass der 
jeweilige Nachbar immer präzise darunter, darüber liegt. Vielmehr wird 
der zufällig Hub ein Vielfaches des gewünschten Offsets sein. Von daher 
bietet es sich an:

1) einen dynamischen (digitalen !) Phasenschieber zu bauen, der die 
Welle VOR der Erzeugung des Analogwertes um jeweils einen 
infinitisimales Moment verschiebt, womit sie permanent darüber oder 
darunter liegt und zwar für alle Zeitpunkte - inklusive des Jitters im 
System.

Man braucht dann aber auch eine präzise DA-Funktion, also einen

2) kalibrierten Wandler, dessen Analogausgänge entstört werden, wie wir 
das beim R2R-Audio machen, um die Störungen infolge von EMV und SSO auf 
den digitalen Zuleitungen zu reduzieren.

Die DA-Funktion kann aber nicht mit einer typischen DDS gemacht werden 
und schon gar nicht beide Frequenzen. Das ist viel zu jitter-behaftet. 
Allenfalls würde eine DDS funktionieren, die mit einer ganzzahligen 
Tabelle arbeitet. Die andere müsste genau so funktionieren, was aber 
bedeutet, dass die beiden Tabellen elend lang sein müsste und sich die 
zweite um dann eine Stelle unterscheiden müsste. Also 8 Mio Punkte und 
8Mio - 1 Punkte. Kann man machen, geht aber auch schlauer mit einer ...

3) kleinen DDS-ähnlichen Funktion, die in sehr hoher Qualität in 
Echtzeit berechnet wird und unter Nutzung von Restklassentheorie einen 
Offset implizit aufrechnet

Für alle 3 Funktionen hätte ich eine FPGA-Lösung, die das in Kombination 
in dieser Weise bewerkstelligt und einst für ein "Radar-System" 
entwickelt wurde, das aber unter Kundenschutz ist.

Allerdings wäre zumindest für die 1 so etwas wie dies hier anzudenken:
http://www.96khz.org/oldpages/frequencyshifter.htm
Mögliche Patente wegen 20 Jahresfrist abgelaufen.

Für die 2 mache man sich bei http://www.diyaudio.com schlau - Siehe die 
Topics zu den R2R-DACs. Wer das nicht selber bauen will: Die 
beschriebene Kompensation mit Regelschleife und Messung kann bei mir 
bezogen werden.

von Wastl (hartundweichware)


Lesenswert?

Thomas R. schrieb:
> Ein richtig dimensionierter fractional-N synthesizer hat praktisch keine
> Nebenlinien.

Das ist so voll der Käse dass man darauf wirklich nicht weiter eingehen 
muss. Du hast es nicht verstanden.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Ein DDS ist sehr sauber, solange das Verhältnis Taktfrequenz zur 
Sinusfrequenz eine glatte Zweierpotenz ist. Um eine Stufe daneben wie 
oben vorgeschlagen sieht das Signal am grauslichsten aus. Das kann man 
im Zeitbereich als Jitter bezeichnen oder spektral als Noise-floor neben 
der Sollfrequenz.

IQ-Modulatoren gibt es eher für höhere Frequenzen, hier die Tabelle
https://www.analog.com/en/parametricsearch/13046#/sort=4466,asc

Der einzige, der schon bei 5 MHz beginnt ist der LTC5598:
https://www.analog.com/en/products/ltc5598.html
bei Mouser auf Lager, Einzelpreis 13,49 €
https://www.mouser.de/ProductDetail/Analog-Devices/LTC5598IUFPBF?qs=sGAEpiMZZMug9GoBKXZ752tIWp6E1bsrWiH3%2FgQVE7xYrjQ1YbsU6g%3D%3D
24 Anschlüsse in 4*4mm, viel Spaß beim Löten.
Der hat einen DC-gekoppelten Modulationseingang, kann also auch mit 0,5 
Hz moduliert werden.

: Bearbeitet durch User
von Wastl (hartundweichware)


Lesenswert?

Christoph db1uq K. schrieb:
> Ein DDS ist sehr sauber, solange das Verhältnis Taktfrequenz zur
> Sinusfrequenz eine glatte Zweierpotenz ist. Um eine Stufe daneben wie
> oben vorgeschlagen sieht das Signal am grauslichsten aus.

So ist es.

Christoph db1uq K. schrieb:
> spektral als Noise-floor neben der Sollfrequenz.

Nein. Noise Floor ist Rauschen am Boden, und Nebenlinien sind 
Nebenlinien.

Beitrag #7436751 wurde vom Autor gelöscht.
von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Ja es geht natürlich um diskrete Spektrallinien, kein Rauschen. Im 
Datenblatt findet man oft solche Spektren.

Zum LTC5598 gibt es auch ein Eval-Board, allerdings 150€ und nicht auf 
Lager:
https://www.mouser.de/ProductDetail/Analog-Devices/DC1455A?qs=ytflclh7QUXGibuylNtang%3D%3D&_gl=1*ktp42i*_ga*dW5kZWZpbmVk*_ga_15W4STQT4T*dW5kZWZpbmVk*_ga_1KQLCYKRX3*dW5kZWZpbmVk

Wir wissen ja nicht, ob es "nix" kosten darf oder die Kosten als kleiner 
Bestandteil eines teuren physikalischen Experiments egal sind. Damit 
entfällt jedenfalls das Löten.

: Bearbeitet durch User
von Daniel C. (dan1el)


Lesenswert?

Ohne den gesamten Beitrag gelesen zu haben:
SI5351 Clock Generator.
Kostet 2 Euro und kann 3 unterschiedliche Frequenzen gleichzeitig.
https://www.mouser.de/datasheet/2/472/Si5351_B-2507774.pdf

Adafruit Breakout Board:
https://learn.adafruit.com/adafruit-si5351-clock-generator-breakout/overview

von Hp M. (nachtmix)


Lesenswert?

Nimm zwei Rubidium-Frequenznormale. Die haben je eine Korrekturspule um 
z.B. den Einfluss des Erdmagnetfeldes auszugleichen, und damit kann man 
sie bei 10MHz leicht um 1mHz oder weniger verstimmen.

Habe ich schon gemacht. Die Lissajousfigur macht dann z.B. einen Umlauf 
in einer Viertelstunde.
Um Flanken musst du dich allerdings selbst kümmern. Die Normale liefern 
Sinuswellen.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

>einen Umlauf in einer Viertelstunde.
das ist etwa ein Millihertz. Aber er braucht ja keine 
Absolutgenauigkeit. Nur die Frequenzdifferenz soll sehr genau konstant 
bleiben. Dazu braucht man keine GPS-Anbindung.

Im "Datenblatt" zum Si5351 finde ich keine Angaben zur Feinabstufung der 
"Multi-Synth" Blöcke. Es geht um eine wählbare Abweichung in der 
zwölften Stelle von 10 MHz.

von Ron-Hardy G. (ron-hardy)


Lesenswert?

https://github.com/etherkit/Si5351Arduino
Hier steht z.B. si5351.set_freq(1400000000ULL, SI5351_CLK0);
Frequencies are indicated in units of 0.01 Hz... nicht schlecht wenn er 
das schafft.

von Ralf L. (ladesystemtech)


Lesenswert?

Hp M. schrieb:
> und damit kann man sie bei 10MHz leicht um 1mHz oder weniger verstimmen.
> Habe ich schon gemacht.

Wichtig ist dabei, dass beide Oszillatoren nicht an dieselbe 
Versorgungsspannung angeschlossen werden, sonst rasten beide auf der 
gleichen Frequenz ein!

Wie temperaturstabil ist denn die Schwebung von 0,1 Hz und über welchen 
Zeitraum?

von Mi N. (msx)


Lesenswert?

Luky S. schrieb:
> Was wären die prinzipiellen Möglichkeiten?

Ohne selber zu löten, könntest Du Dir einen Funktionsgenerator mit zwei 
Ausgängen besorgen: SDG2042X als Beispiel. Ein Programm-Update erlaubte 
auch bei 10 MHz eine Auflösung im µHz-Bereich, wenn ich mich recht 
erinnere.

Leider ist das Teil so blöd programmiert, daß die Arbeit bei variablen 
Einstellungen keinen Spaß macht. Kleine Frequenzänderungen erzeugen 
Aussetzer des Ausgangssignals.

Sehr gute OCXOs wären auch eine Möglichkeit aber nur für typisch 10 MHz.

von Ralf L. (ladesystemtech)


Angehängte Dateien:

Lesenswert?

Luky S. schrieb:
> 8MHz und 8.000001MHz gingen aber ZUM BEISPIEL auch, es geht mir ums
> Prinzip

Fürs Prinzip ginge dann ja auch der SAJ300T mit einer Ausgangsfrequenz 
von nur 50Hz. Mit zwei Stück SAJ300T könntest du zwei Oszillatoren 
aufbauen und mit je einem 8-poligen Codierschalter um wenige ppm 
verschieben. Die kleinste Verschiebung beträgt 1,9ppm.

Zum Beispiel:

50Hz und 50,0001Hz

R1 und C1 würden die beiden Oszillatoren von einander entkoppeln.

: Bearbeitet durch User
von Luky S. (luky)


Angehängte Dateien:

Lesenswert?

Der Si5351A schaut brauchbar aus, werde ich mal testen...
Ich versuche gerade, eine uralte Dwnconverterschaltung für UWB Pulse aus 
Uni-Zeiten wieder in Betrieb zu nehmen, damals wurde sie mit einem HP 
(Nix Agilent...) Frequenzgenerator/Synthesizer angesteuert, je geringer 
der Frequenzunterschied, desto "länger" wird der Puls am Ausgnag und je 
stabiler, desto besser kann man auf den ursprünglichen Eingangspuls 
zurückrechnen. Jitter ist Gift.

von Falk B. (falk)


Lesenswert?

Mi N. schrieb:
>> Was wären die prinzipiellen Möglichkeiten?
>
> Ohne selber zu löten, könntest Du Dir einen Funktionsgenerator mit zwei
> Ausgängen besorgen: SDG2042X als Beispiel.

Der FY6900 kann das auch und ist sehr preiswert. Jitter max. 4ns, 
denn das Ding läuft intern mit 250MHz. Frequenzauflösung 1uHz!

von J. S. (engineer) Benutzerseite


Lesenswert?

Christoph db1uq K. schrieb:
> Ein DDS ist sehr sauber, solange das Verhältnis Taktfrequenz zur
> Sinusfrequenz eine glatte Zweierpotenz ist.
... wenn es eine Ganzzahl ist. Ich baue DDSen auch gerne mit einem 
Vielfachen von 60, 2520 etc und in Sonderfällen auch gerne mit 
Primzahlen. Man muss nur die Tabelle darauf auslegen.

Christoph db1uq K. schrieb:
> Um eine Stufe daneben wie
> oben vorgeschlagen sieht das Signal am grauslichsten aus.
Selbstredend muss in diesem Fall die zweite DDS nicht nur ein Sample 
kürzer sein, sondern auch  eine dazu passende vollständige Tabelle. Und 
sie muss vom selben Takt getrieben sein.

von Mi N. (msx)


Lesenswert?

Luky S. schrieb:
> Der Si5351A schaut brauchbar aus, werde ich mal testen...

Da würde mich das Ergebnis interessieren.
Im Datenblatt steht ja in etwa: exakte Frequenzerzeugung mit 0 ppm 
Fehler. Mit solchen Angaben habe ich immer Probleme. Zudem sind für Dich 
eher die ppb interessant.
Max. 70 ps Jitter bei 100 ns sind nun nicht gerade wenig. Auch auf den 
2. Blick macht mich das Teil nicht an.

Falk B. schrieb:
> Der FY6900 kann das auch und ist sehr preiswert.

Schon, aber auch mit diversen Einschränkungen, wenn man die Berichte 
dazu liest.
Ext. Referenzeingang fehlt, wenn hier vielleicht auch garnicht benötigt. 
Bei 30 Tagen Rückgabemöglichkeit, kann man ein Gerät hinreichend testen.
Dt. Händler für den FY9600 scheinen rar zu sein, sodaß eine Rückgabe 
ggf. an Versandoptionen scheitert.
Soll der TO entscheiden, wie er am besten weiterkommt!

von Matthias G. (mag)


Lesenswert?

Ich würd eine "normale" Signalquelle nehmen (Funktionsgenerator, PLL, 
was auch immer) und ein VCO.

z.B.: Signal 1 (im folgenden S1): Funktionsgenerator mit 10 MHz
Signal 2 (S2): VCO mit Bereich 9 bis 11 MHz (oder so).

Mit einem Mischer wird dann die Differenzfrequenz der beiden Signale S1 
und S2 gebildet. Die Phase dieser Differenzfrequenz wird in einem 
weiteren Mischer mit einer Normalfrequenz verglichen, z.B. 1 kHz. Das 
tiefpass-gefilterte Phasendifferenzsignal wird dann zum VCO zurück 
geführt, sodass eine PLL gebildet wird.

Wenn die PLL gelockt ist, ist die Frequenzdifferenz immer exakt gleich 
der Normalfrequenz - auch wenn S1 selbst schwankt.

(Selbstverständlich muss das Loopfilter der PLL so ausgelegt werden, 
dass die Anforderungen an den Jitter erfüllt werden.)

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

>Downconverterschaltung für UWB Pulse
na jetzt wissen wir etwas genauer, worum es geht. Das alte Prinzip des 
Sampling-Oszilloskops. Ein ultrabreites Signal wird mit einem 
Samplingmischer auf niedrigere Frequenzen heruntergemischt.

https://en.wikipedia.org/wiki/Oscilloscope_types
Absatz "Analog sampling oscilloscope"

Die Kurvenform der Schwingung bleibt dabei erhalten. Es muss allerdings 
ein sich ständig wiederholendes Signal sein, einzelne Impulse kann man 
so nicht erfassen.

: Bearbeitet durch User
von Purzel H. (hacky)


Lesenswert?

Allenfalls einen 2 Kanal DDS, wie einen AD9854

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Mal ein Zahlenbeispiel zum Sampling-Oscilloscope:

Wir haben ein periodisches Eingangssignal mit einer Grundfrequenz von 10 
MHz. Da es nicht sinusförmig ist, enthält es auch Harmonische bei 20, 
30, 40... MHz.

Die tasten wir mit einem Samplingmischer mit 10,1 MHz Abtastrate ab. Der 
Abtastimpuls ist im Ideal unendlich kurz aber unendlich hoch und enthält 
spektral alle Vielfachen von 10,1 MHz mit gleichbleibender Amplitude.

Die 10 MHz werden damit auf 100 kHz heruntergemischt. Die 20 MHz mit 
20,2 MHz auf 200 kHz u.s.w.

Am Ausgang haben wir dann wieder die gleiche spektrale Zusammensetzung 
und Kurvenform, nur auf 1/100 der ursprünglichen Frequenz 
heruntergesetzt.

von Günter L. (Firma: Privat) (guenter_l)


Lesenswert?

von H. H. schrieb:
>Verzögerungsleitung.

Damit kann man aber keine Frequenz verändern,
sondern nur eine Phasenverschiebung machen.

von Luky S. schrieb:
>Ich suche für Experimentierzwecke nach einer Möglichkeit, um 2 ganz
>leicht unterschiedliche Frequenzen mit möglichst geringen, aber stabilem
>Frequenzunterschied zu erzeugen, also z.B. 10 MHz und 10,0000001MHz.

Man baut zwei 10MHz Quarzoszillatoren, einen davon den man
mit Kapazitätsdioden ziehen kann, also die Frequenz um wenige
Hz verändern kann.
Siehe hier:

https://ve6aqo.com/New2014/Hael_Bausteine_151009/VXO_Sender_schaltbild_m.jpg

Die kommen dann noch in ein Quarzofen, also ein Gehäuse wo die
Temperatur stabil gehalten wird.

https://www.elektrikforum.de/data/attachments/3/3412-88cdfd8cc75d91ef6b73e324e3dd6bb8.jpg

Dann wird noch ein Oszillator mit 0,1Hz gebaut,
vielleicht ein 100Hz oszillator mit nachgeschalteten
Teiler durch 1000.
Dann die beiden 10MHz Quarzfrequenzen Mischen und das
Mischergebnis also die Differenzfrequenz mit einen
Phasendetekter, mit den 0.1Hz oszillator vergleichen.
Das Ergebnis mit einen Schleifenfilter mit sehr langer
Zeitkonstante glätten. Diese nun entstandene Gleichspannung
steuert die Kapazitätsdioden des einen 10MHz Quarzoszillators.
Das Ganze nennt sich PLL-Schaltung.

>viel wichtiger wäre mir ein möglichst geringer Jitter

Wenn die Zeitkonstante des Schleifenfilters sehr groß ist
gibt es kaum Jitter.

von Günter L. (Firma: Privat) (guenter_l)


Lesenswert?

Bei diesen gezeigten Beispiel geht es nur um den
Quarzoszillator mit den Kapazitätsdioden, den Rest
der Schaltung wegdenken, es soll ja kein Modulator
werden.

https://ve6aqo.com/New2014/Hael_Bausteine_151009/VXO_Sender_schaltbild_m.jpg

von Joe L. (joelisa)


Lesenswert?

Wastl schrieb:
> Wenn so eine skurrile Problem- bzw. Fragestellung aufkommt
> ohne dass gesagt wird für welche Aufgabe das Ganze sein soll
> dann kommt einem schnell der Gedanke dass der TO nicht
> wirklich weiss was er will bzw. eine völlig verirrte und/
> oder fachkenntnis-lose Planung verfolgt. Dies gilt um so
> mehr wenn dieser Plan hier im Forum für immer geheim bleiben
> sollte.

+++ für Wastl -- einer der Wenigen, die das Problem wirklich erfasst 
haben, aber der Einzige, der es klar ausspricht...

von Christoph db1uq K. (christoph_kessler)


Angehängte Dateien:

Lesenswert?

>10 MHz und 10,0000001MHz
Damit würde das Sampling-Oszilloskop ein 1 GHz-Signal auf 1 Hz 
heruntersetzen, wenn ich richtig gerechnet habe.
Das kommt mir doch etwas zu "ambitioniert" vor.

Hier eine alte Elektorschaltung zum Thema.

: Bearbeitet durch User
von Christoph db1uq K. (christoph_kessler)


Angehängte Dateien:

Lesenswert?

Falls jemand Daten zum FET E300 sucht, der war schon im 
Siliconix-Datenbuch 1979 als veraltet nicht mehr enthalten. Hier im 
Datenbuch vom Nov. 1977. Die "performance curves" musste man weiter 
hinten nachschlagen.

von Brüno (dominic_m833)


Lesenswert?

Die Differenz der Periodendauer zwischen 10 MHz und 10,0000001MHz 
beträgt rund eine Femtosekunde. Das ist gelinde gesagt sportlich und mit 
hoher Wahrscheinlichkeit völlig am Thema vorbei.

von Peter D. (peda)


Lesenswert?

Brüno schrieb:
> Die Differenz der Periodendauer zwischen 10 MHz und 10,0000001MHz
> beträgt rund eine Femtosekunde.

Man kann aber auch sagen, die Differenz beträgt 0,1Hz. Das kann man noch 
einfach mit einer Meßzeit >=10s auflösen und damit die Kapazitätsdiode 
des 2. Quarzoszillators nachregeln.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

ich denke, die
>skurrile Problem- bzw. Fragestellung
ist damit wenigstens geklärt.

Im Gegensatz zur Elektorschaltung ist ja hier die Frequenz des 
untersuchten Signals offenbar selbst erzeugt und zugänglich. Sonst 
könnte man den Mischoszillator nicht dicht daneben einrasten lassen.
Bei Elektor muss man den Mischoszillator von Hand mit dem 
Drehkondensator auf einen passenden Offset abgleichen. Das kann man 
sicher nicht auf die zwölfte Stelle genau hinzittern.

von Jens D. (jensd)


Lesenswert?

Mit diesem Baustein können die beiden Frequenzen mit einem Jitter im 
Bereich von Femtosekunden erzeugt werden.

https://www.ti.com/product/LMK5B12204

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Er wird doch die beiden Frequenzen wieder zusammen führen und genau dann 
wird es mit dem Phasenrauschen schwierig. Schnapsidee!

von Michael (Firma: HW Entwicklung) (mkn)


Lesenswert?

Luky S. schrieb:
> geht mir ums Prinzip

Du kannst einen nach oben offenen Betrag dafür ausgeben diese 
Fantasiebauteile aus Unobtanium real zu erhalten oder Du simulierst das 
einfach auf LTspice, um Dir zur Erkenntniss zu verhelfen.
LTspice hält Dir auch zwei Taktquellen vollkommen Jitterfrei und auf 
10^-6 Prozent genau.
In der Simu gbt es keine reale Welt die da Grenzen auferlegen würden.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.