Forum: Markt [S] FPGA Entwicklungsboard


von Rene K. (xdraconix)


Lesenswert?

Hallo,

ich würde gerne einmal in die Entwicklung mit FPGAs schnuppern. Jedoch 
bin ich da aktuell sehr erschlagen von der Auswahl.

Gibt es ein "gutes" und günstiges Dev Board am Markt, welches sich in 
diesem Bereich an Einsteiger widmet? Am liebsten schon mit einigen IOs 
(LEDs, Taster, etc..)

Im Bereich MC bin ich recht Fortgeschritten. In FPGA habe ich allerdings 
noch keinerlei Erfahrungen sammeln können.

Ich würde auch ungern Preise in Höhe umme 300€ bezahlen wollen / können. 
(Ja ich weiß: Qualität kostet)

Liebe Grüße René

von N. B. (charlie_russell)


Lesenswert?

Sipeed Tang Nano 9k (20€) oder 20k und bei Bedarf bau dir dein eigens 
PCB mit IO/buttons, etc.

Klassische einsteiger boards sind Digilent Nexys serie jedoch kosten die 
deutlich mehr. Arrow Max 1000, tinyfpga, etc. gibt es auch noch.

von N. M. (mani)


Lesenswert?

Prinzipiell fand ich das Max1000 von Trenz ganz gut, aber so wie es 
aussieht ist das nicht mehr richtig zu bekommen!?

Ansonsten finde ich die Boards von Terassic ganz cool.
Beim DE0 hast du schon einen dicken Brocken für einen Einsteiger:
https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593#contents

Nach oben hin natürlich keine Grenzen. Die nächste Stufe:
Beim DE10nano auch noch mit 2xA9 Cores als SoC.

Erstes sehr günstig, falls erhältlich.
Nachfolgende 2 unter 300€.

: Bearbeitet durch User
von DSGV-Violator (Gast)


Lesenswert?

> ich würde gerne einmal in die Entwicklung mit FPGAs schnuppern. Jedoch
> bin ich da aktuell sehr erschlagen von der Auswahl.

Wer in die "Entwicklung mit FPGA" reinschnuppern will, braucht kein 
FPGA-Board, weil der überwiegende Teil am PC ganz ohne Board geschieht 
und der gemeine FPGA-Entwickler 90% seiner Zeit verbringt sich mit den 
Tools (Vivado, Graphik, mentor Graphics, ...) rumzuschlagen.

Also installiere eines dieser Tools (Quartus/Altera/intel scheint die 
Wahl der Billigheimer zu sein) und fang an Tuterials nachzustellen bis 
du ein ladbares Design hast. Wenn das per GUI-Stocherei kannst, 
wiederhole das Ganze ohne GUI, nur mit Scripten. Wenn das dann läuft, 
kennst die die Tools ausreichend genug, das man Dich auch mal 
unbeaufsichtigt FPGA erstellen lassen kann.

> Gibt es ein "gutes" und günstiges Dev Board am Markt, welches sich in
> diesem Bereich an Einsteiger widmet? Am liebsten schon mit einigen IOs
> (LEDs, Taster, etc..)
> Ich würde auch ungern Preise in Höhe umme 300€ bezahlen wollen / können.

Der üblich Preis für neue Einsteiger Boards aka Starterkits liegt eher 
darunter so um die 100 €., bei Sonderaktionen oder ganz einfachen boards 
auch schon mal unter 50€. Hier sollte man allerdings nach einem 
Gebrauchten (erfahrungsgemäß meist unbenutzen) Board suchen und den 
Preis auf 25 bis 30 % runterhandeln.

Hinweis: mit dem Board hören die Investitionen oft nicht aus, da braucht 
es auch Messequipment (Scope bspw.)

Der Distri "Avnet" ballert öfters Einstiegsboard raus:
https://www.avnet.com/wps/portal/us/products/avnet-boards/

Auch Trenz hat mal seine Boards über avnet vertickert:
https://shop.trenz-electronic.de/de/Produkte/Trenz-Electronic/
https://shop.trenz-electronic.de/de/Produkte/Trenz-Electronic/MAX1000-Intel-MAX-10/

Wenn dir an diesem boards die Knöpfe fehlen, ja dann muss man die sich 
eben dran löten. So ist das eben im richtigen FPGA-Leben, da ist man 
sehr nah an der Hardwareentwicklung und weit weg von der 
Arduino-Bespassung.

> Im Bereich MC bin ich recht Fortgeschritten.

Das ist eher hinderlich, sinnvoller wären Erfahrungen in der 
Computerarchitektur und klassischen Logikdesign (TTL-Grab).>

> In FPGA habe ich allerdings
> noch keinerlei Erfahrungen sammeln können.

Du kannst an den Erlebnissen mancher FPGA-Möchtegerns vor dir 
partizipieren, indem du alle Beiträge in diesem Unterforum exzerpierst:
https://www.mikrocontroller.net/forum/fpga-vhdl-cpld

Geht besser wenn man wenigstens die google Suchfunktion nutzt:
https://www.google.de/search?q=site%253Amikrocontroller.net+FPGA+starterkit

von Ulf L. (ulf_l)


Lesenswert?

Ich würde mich da just4fun anschließem, die HW brauchst Du eigentlich 
gar nicht, wenn's nur um das Reinschnuppern geht. Über die Simulation 
läßt sich nahezu alles erst mal ausprobieren, was allerdings neben der 
FPGA-Codiererei eben auch die Einarbeitung in die Simulations-Tools 
erfordert.
Der riesen Vorteil der Simulation ist halt auch, dass man praktische 
jede Node und jedes Register erreicht, ohne das vorher auf irgend einen 
Pin geroutet zu haben. Weiter gibt es dann auch noch die Möglichkeit die 
Simulationen inkl. der Timing-Information aus dem Compiler zu fahren. Da 
bist du dann schon sehr nahe an der Realität.
Bis wir den FPGA-Code das erste mal in HW laden sind erst mal zig 
Stunden Simulation in's Land gegangen.

von Maik D. (iltedesco)


Lesenswert?

N. M. schrieb:
> Prinzipiell fand ich das Max1000 von Trenz ganz gut, aber so wie
> es aussieht ist das nicht mehr richtig zu bekommen!?

Das stimmt so nicht.
Mit dem Kauf des Buches „FPGA für alle“ bekommt man einen Code mit dem 
man das Board direkt beim Verlag bestellen kann. Das Board ist dort 
lieferbar. Es gibt eine Kooperation zwischen Verlag und Trenz.


In folgendem Thread gibt es näheres zu dem Buch:
Beitrag "neues FPGA buch: "FPGA für alle""

: Bearbeitet durch User
von Frank K. (fchk)


Lesenswert?

Rene K. schrieb:

> ich würde gerne einmal in die Entwicklung mit FPGAs schnuppern. Jedoch
> bin ich da aktuell sehr erschlagen von der Auswahl.

Für Dein erstes Projekt kann ich die Lattice MachXO/XO2/XO3/XO3D 
empfehlen.

Gründe dafür:
- es gibt sie auch in kleinen, handhabbaren Gehäusen wie QFN36, QFN72 
oder LQFP. BGA ist schon eine gewisse Hürde.
- Es gibt die Teile als reine 3.3V Versionen. Bei den größeren Teilen 
brauchst Du immer noch weitere Spannungen für den Kern (1.2 oder 1.8V) 
und oft noch 2.5V für irgendwas anderes. Die Lattice-Teile sind da so 
einfach, wie es nur geht. 3.3V, Abblockkondensatoren, JTAG rausführen, 
gut.
- Der JTAG-Programmer ist ein FT2232, da reicht notfalls auch irgendein 
China Breakout Board. Schau Dir die Schaltung des MachXO2 Breakout 
Boards an.
- Sie sind günstig und lieferbar, und das ohne irgendwelche 
China-Quellen, einfach so bei Mouser, Digikey etc.

Alternativ vielleicht noch die ice40 Serie, aber die habe ich bislang 
noch nicht selber eingesetzt.

fchk

von N. M. (mani)


Lesenswert?

Maik D. schrieb:
> Das stimmt so nicht.
> Mit dem Kauf des Buches „FPGA für alle“ bekommt man einen Code mit dem
> man das Board direkt beim Verlag bestellen kann.

Meine Aussage bezog sich auf die Lagerbestände (0) bei Trenz direkt:
https://shop.trenz-electronic.de/de/Produkte/Trenz-Electronic/MAX1000-Intel-MAX-10/

von Pat A. (patamat)


Lesenswert?

Frank K. schrieb:
> Für Dein erstes Projekt kann ich die Lattice MachXO/XO2/XO3/XO3D
> empfehlen.

Weiterer Vorteil von Lattice: die IDE verbraucht gegenüber anderen 
Herstellern deutlich weniger Resourcen!

Der Speicherplatzverbrauch bei mir:
 - Lattice Diamond 3.12 - ca. 6.5 GB
 - Xilinx Vivado 2023.1 - ca. 57 GB (schon abgespeckt)

von Sebastian W. (sebastian_w75)


Lesenswert?

Hallo,
da auch ich mich erst unlängst in deiner Situation befand, teile ich mal 
meine Erfahrungen:

An der Uni haben wir letztens mit der ZYNQ-7000 Reihe auf dem ZedBoard 
gearbeitet. Zwar ist dieses SoC für den Einstieg mehr als nur großzügig 
dimensioniert, aber aufgrund seiner hohen Popularität stehen zur Genüge 
Beispiele und Demos zur Verfügung. Auch das Blockdesign in Vivado macht 
die Erstellung von größeren Projekten etwas übersichtlicher und 
intuitiver.

Einen Nachteil gibt es allerdings: Die Entwicklungsumgebung Vivado (& 
Vitis für den eingebetteten Prozessor) ziehen ganz schön Speicher (44GB 
bei mir)

Günstigere Dev-Boards wären das Cora Z7 oder ein gebrauchets Zybo Z7. 
Damit hat man den vorteil dass alle Boardfiles und Constraint-Files 
leicht zur Verfügung stehen.

PS: Meine Erfahrungen beschränken sich auf Xilinx, mit andernen 
Herstellern habe ich noch nicht gearbeitet, weshalb isch auch keine 
Vergleich machen kann.

Sebastian

von Motopick (motopick)


Lesenswert?

Wenn du nur ein wenig an
> FPGAs schnuppern
willst, reicht das kleinste Cyclone2 Board aus China (+ JTAG-Adapter).

> Im Bereich MC bin ich recht Fortgeschritten.

Aha, aber
> einige IOs (LEDs, Taster
bekommst du nicht an so ein Board angeschlossen?

Wenn es etwas aktuelleres sein soll, was nur zum "Schnuppern"
nicht unbedingt notwendig ist, dann vielleicht das hier:

https://www.mikrocontroller.net/attachment/475474/beMicro_max10.jpg

von PCB (pcbee)


Lesenswert?

Ich würde die Lattice ice40 Serie für den Einstieg empfehlen.
Besonders bei den ice40 FPGAs, die icecube2 unterstützen, weil die IDE 
recht überschaubar ist und man sich dadurch eher aufs Entwickeln auf der 
Hardware, als auf die Tools konzentrieren kann.
Boards gibt es ab 20 Euro, einen Programmer braucht man möglicherweise 
noch, ein USB Dongle, der SPI über einen FTDI Chip bereitstellt, soll 
wohl auch funktionieren.

von Rene K. (xdraconix)


Lesenswert?

Motopick schrieb:
> Aha, aber
>> einige IOs (LEDs, Taster
>
> bekommst du nicht an so ein Board angeschlossen?

Doch doch, selbstverständlich. Auch genügend Testequipment habe ich zu 
Hause stehen.

Ein Board ohne Löten wäre da aber natürlich um einiges eleganter. ☺️

Ich habe mir mal ein Protoboard mit einem MachX0 bestellt - das war 
preislich mit 50€ relativ angenehm. Dürfte dann nächste Woche 
eintreffen.

Ich danke euch allen für die vielen Infos!

von DSGV-Violator (Gast)


Lesenswert?

Gelegentlich gibt es boards zum reduzierten Studentenpreis, nennt sich 
gern "academic". Vor dem grossen Boom des Online-Versandes konnte man 
auch über manche Profs an preiswerte Boards kommen, das spart dann mal 
100€, MWSt. und so.

> An der Uni haben wir letztens mit der ZYNQ-7000 Reihe auf dem ZedBoard
> gearbeitet. Zwar ist dieses SoC für den Einstieg mehr als nur großzügig
> dimensioniert, aber aufgrund seiner hohen Popularität stehen zur Genüge
> Beispiele und Demos zur Verfügung. Auch das Blockdesign in Vivado macht
> die Erstellung von größeren Projekten etwas übersichtlicher und
> intuitiver.

Naja FPGA und SoC ist zweierlei und die Schnittmenge ist je nach 
Betrachtungsweise sehr gering. Statt FPGA-Module selbst zu entwickeln, 
werden da lediglichg fertige IP-Cores zusammengelickt und das Ganze 
gerät dann eher zu einem Mikrocontroller-Programmierproject (oder gar 
(Penta-)Linux-Project) was mit dem Anfangsfrage "Kennenlernen von 
Digitalentwicklung mit Verilog/VHDL/...)" kaum noch was zu tun hat.

Beim Zybo bspw. kann man zwar mit der Hardwareumgebung reine 
FPGA-Componenten (Also ohne Nutzung der ARM-Cores) entwicklen und 
Synthetisieren, die werden aber nur flüchtig (als bit-Stream) in den 
Zynq configuriert, aber nicht permanent. Permanent (non-volatile) in den 
Flash packen benötigt neben den FPGA-HDL-Tools auch das 
Software-Development-Kit (SDK), weil eben der Boot vom Flash des SoC's 
ein mehrphasicer Process ist, der auch die ARM-Cores involviert auch 
wenn lediglich das in der (vor?)-etzen Phase geladenen Logic-design 
"aktiv" ist. reines FPGA-Design (bspw Spartan, Artic) ist IMHO nur halb 
so komplex wie ein SoC-Design.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.