Forum: FPGA, VHDL & Co. Pollin CPLD Board Erfahrungen?


von Peter Diener (Gast)


Lesenswert?

Hallo zusammen,

ich habe gerade im neuen Pollin Katalog ein CPLD Evaluationsboard 
gefunden.
Best.-Nr. 44-810068.

Das hat einen Xilinx 95144 mit Speicher und Onboardprogrammer drauf.

Hat damit schon mal jemand was gemacht? Wie funktioniert die Entwicklung 
damit? Gibt es kostenlose Tools, oder benötigt man irgendeine 
kostenplichtige Software oder Hardware zusätzlich?

Genügt dafür ISE WebPACK?

Viele Grüße und ein gutes neues Jahr,

Peter

von Falk B. (falk)


Lesenswert?

@ Peter Diener (Gast)

>Das hat einen Xilinx 95144 mit Speicher und Onboardprogrammer drauf.

Klingt brauchbar.

>Hat damit schon mal jemand was gemacht?

Ich nicht.

> Wie funktioniert die Entwicklung
>damit?

Per VHDL oder Schaltplaneingabe.

> Gibt es kostenlose Tools,

Ja.

> oder benötigt man irgendeine
>kostenplichtige Software oder Hardware zusätzlich?

Nein.

>Genügt dafür ISE WebPACK?

Ja. Nimm ISE 6.3, das reicht, ist stabil und noch relativ schnell beim 
Download.

MFG
Falk

von MC (Gast)


Lesenswert?

erklärt mich für blöd, aber wo hast du das gesehen? ich hab bei pollin 
nichts gefunden...

von Philipp Karbach (Gast)


Lesenswert?

tatsache! ich find das ding auch nicht, interesse besteht auch hier!

von Knut B. (Firma: TravelRec.) (travelrec) Benutzerseite


Lesenswert?

Scheint noch nicht verfügbar zu sein.

von Benedikt K. (benedikt)


Lesenswert?

Es existiert: Wenn man die Nummer direkt eingibt, wird es als momentan 
nicht lieferbar angezeigt.
Datenblätter, Anleitungen usw. scheinen auch noch nicht verfügbar zu 
sein.

von Ulrich (Gast)


Lesenswert?

Wenn mann bei Pollin Direktbestellung die Artikelnummer eingibt, dann 
kommt folgender Text:
Bausatz CPLD-Evaluation-Board   24,95   24,95

Aber auf lochraster(PLCC44) geht cpld auch ganz easy...

von CPLD Evaluationsboard Sucher (Gast)


Lesenswert?

Moin,

einfach mal die Art.Nr. bei Direktbestellung eingeben :-)

Status ist aber gerade auf "wird nachgeliefert".

ciao

von CPLD Evaluationsboard Sucher (Gast)


Lesenswert?

now Online :-)

von Peter Diener (Gast)


Lesenswert?

@Falk: Danke für die Info!

@MC: Ich habs im gedruckten Katalog gesehen. Hab ihn gerade vor ein paar 
Tagen bekommen.

So ein Platinchen werd ich mir dann bei der nächsten Bestellung 
mitbestellen, das klingt für den Anfang wirklich ganz brauchbar und ich 
wollte mich schon länger mal mit CPLDs beschäftigen.

Grüße,

Peter

von Steve M. (millersteve)


Lesenswert?

So, habe es gerade bestellt, scheint so neu im Pollin-Programm zu sein, 
dass es noch keine Downloads dafür gibt.

Auf der Website ist es nun unter Bausätze-Diverse zu finden. Werde 
demnächst hier ein paar mehr Infos darüber liefern.

Hoffentlich geht es mit dem Versand schnell.

Grüße an alle

Steve

von Max (Gast)


Lesenswert?

Hallo
Ich hab mir das Board auch Bestellt.
Ob es damit möglich wäre ein Logikanalayser draus zubauen?
Es ist immerhin Speicher drauf und mit einem Atmega dran sollte sich was 
Zaubern lassen

Was meint ihr zu dem Gedanken?

Gruß Max

von Wenndemann (Gast)


Lesenswert?

Auch meinerseits besteht an dem Board großes interesse, da mich die 
Thematik auch schon was länger interessiert. Wär nett wenn dann mal 
einer berichtet wenns angekommen ist, dann warte ich so lange noch 
(brauch auch noch andere Sachen)

mfg
Wenndemann

von Steve M. (millersteve)


Lesenswert?

@ Max:

Logicanalyzer ist auch mein Favourite. Es gibt da mehrere andere Threads 
hier im Forum, aber die benutzen etwas leistungsfähigere Hardware. Für 
den Hausgebrauch sollte es dennoch reichen.


Leider gibt es zum Liefertermin keine guten Neuigkeiten.
Ich habe heute mit Pollin telefoniert, weil die Bestelung ohne 
CPLD-Board gekommen ist. Die freundliche (wirklich) 
Kundendienstmitarbeiterin hatte auch noch keine Aussage bezgl. 
Liefertermin parat, da die Boards im Moment gerade erst für Pollin im 
Auftrag gefertigt werden (schätze mal in Fernost?!). Deswegen noch keine 
Aussage zur Hardware (Speedgrade, SRAM etc.) möglich :-(

Also abwarten und Tee trinken.

Grüße

Steve

von Max (Gast)


Lesenswert?

@ Steve M:
Werden die Board kostenfrei nachgeliefert? Fals etwas dazu gesagt wurde.

Gruß Max

von von ganz weit weg (Gast)


Lesenswert?

Bei der Onlinebestellung wurde angezeigt das das Board Versandkostenfrei 
nachgeliefert wird.

von Steve M. (millersteve)


Lesenswert?

Ja, Board wird versandkostenfrei nachgeliefert, stand auch auf der 
mitgelieferten Rechnung der restlichen Bestellung.

bis demnächst

Steve

von Max (Gast)


Lesenswert?

Es gibt nun Downloads zu dem Board, hoffe das ist ein Zeichen dafür, für 
die baldige Verfügbarkeit.

Was meint ihr zu der Verarbeitungsleistung von dem?
Ich hab leider noch nie was mit CPLD gemacht und kann das Potential 
nicht einschätzen.

Gruß Max

von Benedikt K. (benedikt)


Lesenswert?

Sieht auf den ersten Blick gut aus.
Es ist nicht viel drauf, aber alles wichtige um direkt loszulegen.
Die Anleitung für das IseWebpack ist sinnvoll.
Ich hätte an dem Board eigentlich nichts auszusetzen.
Alles notwendige z.B. für einen einfachen Logikanalyser sollte da drauf 
sein. Jetzt wäre es nur interessant zu wissen, wie schnell der SRAM ist. 
Ein 70ns SRAM macht da nämlich nicht allzuviel Sinn. 15ns oder weniger 
sollten es schon sein. Der XC95144XL ist auch nicht unbedingt groß, aber 
für einfache Sachen reicht er dicke (wie man z.B. an den TV 
Bildgeneratoren sieht die es im Netz gibt).

von Max (Gast)


Lesenswert?

Danke für deine Antwort und Zeit Benedikt.

Hmm nach Datenblatt (fals es der ist), hätte er was zwischen 55 und 
70nS.
Daraus ein 16 Port á ~10MHz Logikanalyser zu bauen wird wohl nichts 
oder?
Bei 10MHz wären es 100nS, ich weiß aber noch nicht wieviel Zeit ich 
brauch fürs wegschreiben (1x(1Bit) zugriffszeit oder 8x(1Byte)).

Habe bisher nur Erfahrung in bereich Elektronik, Digitaltechnik und 
Mirkocontroller, allerdings hab ich bisher noch nie ein externen 
Speicherbenutzt oder gar ein Logikanalyser aufgebaut.


Gruß Max

von Benedikt K. (benedikt)


Lesenswert?

Max wrote:
> Hmm nach Datenblatt (fals es der ist), hätte er was zwischen 55 und
> 70nS.
> Daraus ein 16 Port á ~10MHz Logikanalyser zu bauen wird wohl nichts
> oder?

Bei 55ns wären es 18MByte/s. Das ergibt 9MHz bei 16bit, also nicht 
wirklich viel.

von Max (Gast)


Lesenswert?

Man könnte ja ein schnelleren Speicher draufsetzen, bzw anpassen.
Ich hab noch SRAM aus Mainboards ausgeschlachtet, weil ich das 
irgendwann vor hatte mich damit zu befassen.

Nun 9MHz bei 16Bit wär immernoch OK für mich, da ich sonst gar nichts 
hätte und es nur für den Hobbybereich wär.

Der Mikrocontroller kann ja zb mit dem Bus max 10MHz getaktet werden(zb 
SPI@20MHz Systemtakt).

Es ist jedenfals erfreulich, dass es überhaupt machbar ist mit der 
Hardware.

Vielen Dank für deine Antwort

Gruß Max

von Andreas J. (antibyte)


Lesenswert?

Das Board sieht interresant aus, aber für 25 Euro mehr
gibts z.B. das hier :

http://shop.trenz-electronic.de/catalog/product_info.php?cPath=1_47&products_id=456

Da muss man sich zwar den externen RAM noch dranpfriemeln, aber
die Möglichkeiten sind dafür auch um den Faktor 100 mehr.
(Und einen Programmer für Cypress PSOCs gibts gratis dazu)

z.B. Emulation eines kompletten Homecomputers :

http://www.zxbada.bbk.org/badaloc_fpga/badaloc_nano.htm

Wer aber gezielt nach einem CPLD-Board sucht, ist mit dem Pollin Teil 
sicher gut bedient.

von Maik F. (sabuty) Benutzerseite


Lesenswert?

Ich besitze keinen Computer mit Parallelport. Funktioniert die Art von 
Programmierer, die auf dem Pollin-Board ist, auch mit einem 
USB->Parallel-Kabel?

von Christian R. (supachris)


Lesenswert?

Maik F. wrote:
> Ich besitze keinen Computer mit Parallelport. Funktioniert die Art von
> Programmierer, die auf dem Pollin-Board ist, auch mit einem
> USB->Parallel-Kabel?

Nein, denn mit einem USB-Parallel-Kabel funktionieren überhaupt keine 
Programmer, weil diese Kabel nur Drucker-Unsterstützung über USB-Printer 
Class bereitstellen.

Einzige Ausnahme der recht aufwendige Adapter zum Selber bauen: 
http://www-user.tu-chemnitz.de/~heha/bastelecke/Rund%20um%20den%20PC/USB2LPT/
Geht dann aber auch um einiges langsamer als richtiger LPT und ob er 
genau mit dem Programmer funktioniert, weiß auch niemand.

Lieber einen FT2232 benutzen, dafür gibts irgendwo einen SVF-Player, und 
dann im ImPact nicht auf JTAG schreiben, sondern ein SVF File erstellen.

von Benedikt K. (benedikt)


Lesenswert?

Christian R. wrote:

> Lieber einen FT2232 benutzen, dafür gibts irgendwo einen SVF-Player,

Wo? Den Suche ich schon seit längerem, konnte aber noch keinen finden.

von Christian R. (supachris)


Lesenswert?

Hm, naja, gabs den nicht bei Amontec? Da müsste man eventuell nur die 
Vendor/Product ID im FT2232 reinmogeln, und dann sollte es gehn, die 
JTAG Schaltung ist dort doch auch irgendwo zu finden.
Ich glaube, urjtag kann auch mit dem FT2232 umgehen, ich weiß aber 
nicht, wie weit die Frickler bisher sind.

von Benedikt K. (benedikt)


Lesenswert?

Christian R. wrote:
> Hm, naja, gabs den nicht bei Amontec? Da müsste man eventuell nur die
> Vendor/Product ID im FT2232 reinmogeln,

Wenn das nur mal so einfach wäre. Er sagt bei mir immer No Device 
found...

von Christian R. (supachris)


Lesenswert?

Achso, ich dachte, das passt für alle FT2232....hm, naja....dann bleibt 
noch urJTAG

von Guido (Gast)


Lesenswert?

Ich benutze diesen hier:

http://www.triplespark.net/elec/pdev/usb-atmelprg/

Zwar unter Linux, sollte aber doch keine Probleme machen
das C-Programm unter Windows zu bauen.

von Maik F. (sabuty) Benutzerseite


Lesenswert?

Prinzipiell sollte es also auch möglich sein, das hier zu verwenden:
http://www.embedded-projects.net/index.php?page_id=157

Hat jemand Erfahrungen damit?

von MB (Gast)


Lesenswert?

Habe eben mit dem Kundenservice von Pollin telefoniert & es weis noch 
niemand so recht, wann der Artikel denn eventuell geliefert werden 
könnte...

Vllt wird's ja gegen Ende nächster Woche noch was. -_-

von fantomas (Gast)


Lesenswert?

so allgemein Frage sind CPLDs noch aktuell Technologie?

von davidl (Gast)


Lesenswert?

klar, kommt auf die Anwendung drauf an

von Max (Gast)


Lesenswert?

Da der noch nicht Verfügbar ist hab ich mir schon mal paar Gedanken 
gemacht.
Fals man den Speicher gegen ein 15nS austauscht(Cachspeicher aus einem 
alten Board) würde man auf 66,6MByte/sek kommen.
Schaltet man 2 Parallel und lässt ein AVR die Steuerarbeit machen, 
sollte man damit ein recht guten Analyser bekommen, 16Bit á 66MHz.

Hab ich ein Gedankenfehler gemacht oder kommt es hin?

Gruß Max

von Benedikt K. (benedikt)


Lesenswert?

Max wrote:
> Fals man den Speicher gegen ein 15nS austauscht(Cachspeicher aus einem
> alten Board) würde man auf 66,6MByte/sek kommen.

Theoretisch ja, praktisch wird es schwer:
Der schnelle Cache SRAM ist meist entweder im schmalen DIL oder schmalen 
SMD Gehäuse erhältlich. Das breite Gehäuse wird eher für langsame SRAMs 
verwendet. Weiterhin haben die Cache SRAMs meist nur 32kByte.

> Schaltet man 2 Parallel und lässt ein AVR die Steuerarbeit machen,
> sollte man damit ein recht guten Analyser bekommen, 16Bit á 66MHz.

Das sollte ansonsten prinzipiell funktionieren, ob man allerdings 66MHz 
schafft weiß ich nicht.
Mit 2x 512x16 SRAMs und einem XC2C256 habe ich etwas ähnliches gemacht, 
das läuft besser als gedacht.
Wenn man eine etwas komplexere Triggerung einbauen möchte wird der 
XC95144XL schnell voll, für einen einfachen Logic Analyser reicht das 
aber.

von Der Mann im Mond (Gast)


Lesenswert?

Hat das Board eigentlich schon jemand bekommen?
Ich warte schon 3 Wochen drauf.

von Max (Gast)


Lesenswert?

Den Speicher den ich hab ist im schmalen Gehäuse und hat 15nS(64k8Bit) 
und hab noch 12nS(16kx8Bit).

Die 66MHz waren einfach als max Geschwindigkeit gewesen, mehr als 
10MHz(wenn überhaupt), werd ich wohl eh nicht haben.

Ich kann mir die sachen mit dem Speicherauslesen und Steuern mit dem AVR 
vorstellen, da ich beispiel Projekte gefunden hatte.
Leider kann ich die Leistungsfähigkeit nicht einschätzen von den CPLDs.

Hast du mit den 512kx16Bit nicht ein 32Bit Analyser gebaut mit 512k 
Messpunkten, fals ich das richtig verstanden hab?

Sorry wenn ich solche Fragen stell, aber ich hab mir alles mit den 
Mikrocontrollern selber beigebracht und bin erst 1 Jahr dabei.

von Benedikt K. (benedikt)


Lesenswert?

Max wrote:

> Ich kann mir die sachen mit dem Speicherauslesen und Steuern mit dem AVR
> vorstellen, da ich beispiel Projekte gefunden hatte.
> Leider kann ich die Leistungsfähigkeit nicht einschätzen von den CPLDs.

Dieser CPLD hat 144 Macrozellen. Das entspricht 144Bits 
Speicherkapazität mit je einigen Logikfunktionen.
Zum Einlesen der 16 Kanäle braucht man ein 16bit Register. Dann noch 
eine 17bit Adresszähler, sind schonmal 33bit verbraucht. Dann nochmal 
8bit für den Samplerateteiler, nochmal etwa 16bit für das SPI Register 
zur Konfiguration der Samplerate, Triggerung usw. macht 65bit. Damit 
wäre schon fast die Hälfte voll.

Ich sags mal so: Solch ein CPLD ersetzt locker mal 10-40 CMOS/TTL ICs, 
er ist also schon mächtig. Gemessen an der Komplexität die man mit einem 
µC in Software realisieren kann, ist das aber garnix. Daher auch der AVR 
zum Auslesen. Einen UART könnte man zwar auch im CPLD implementieren um 
die Daten an den PC zu übertragen, aber die Zellen kann man anderweitig 
sinnvoller nutzen. Und da der UART und das Auslesen nicht Zeit kritisch 
ist, kann man das problemlos mit einem AVR machen.

> Hast du mit den 512kx16Bit nicht ein 32Bit Analyser gebaut mit 512k
> Messpunkten, fals ich das richtig verstanden hab?

Nein, ich habe 1Mx16 gebaut, da ich eine hohe Speichertiefe brauchte, da 
ich öfters Initialisierungen von ICs mitschneide, die oft Pausen in der 
Initroutine haben.

von Max (Gast)


Lesenswert?

WOW... 1Mx16Bit ist eine Menge...
Vielen Dank für die Erklärung, nun kann ich mir etwas unter Macrozellen 
vorstellen.

Ich denke ich werd mich mit dem Teil ansich beschäftigen und mir danach 
ein 2. selber aufbauen und daraus ein Logicanalyser entwickeln.

Da geb ich dir recht mit der Komplexität eines Mirkocontroller, damit 
kann man eine Menge realisieren..

Ich hatte es mir auch so überlegt gehabt, dass ich den CPLD nehmen 
wollte um mir das aufbauen zu erleichtern, da ich mir die ganzen CMOS 
und TTLs sparen will.

Kannst du mir ein guten Tip geben für ein Ein/Ausgangstreiber? Ich kenn 
den T74HC574 als 8Bit DFlipFlop.
Kennst ein Typ der auch eine Art Levelshifter drin hat?

von Benedikt K. (benedikt)


Angehängte Dateien:

Lesenswert?

Max wrote:
> Ich hatte es mir auch so überlegt gehabt, dass ich den CPLD nehmen
> wollte um mir das aufbauen zu erleichtern, da ich mir die ganzen CMOS
> und TTLs sparen will.

Ja, ist ein guter Ansatz. So habe ich es auch gemacht:

Ich habe mal ein Foto meiner bisherigen Logic Analyser angehängt:
- 2002: 8 Kanal 20MS/s, 256k x8 VRAM, AT89C51, RS232, Logik für 
Samplerateteiler, Samplerateumschaltung, Triggerauswahl, 
Triggerinvertierung
- 2005: 16 Kanal, 40MS/s, 128k x16 VRAM, ATmega8515, RS232 + USB 
(57kByte/s), Logik wie oben nur in einen XC9572 integriert
- 2008: 16 Kanal, 66MS/s, 1M x16 SRAM, ATmega8, USB (500kByte/s), 
verbesserte Triggerung: Man kann pro Kanal einen vorher und nachher 
Zustand auswählen, um die Triggerbedingung zu erfüllen, also 
Pegeländerungen, konstante Pegel, don't care, alles in einem XC2C256. 
Die komplette Umstellung auf 3,3V hat die Stromaufnahme extrem 
reduziert, so dass nun alles direkt über USB laufen kann.
66MHz auf Lochraster, und alles funktioniert wunderbar...

> Kannst du mir ein guten Tip geben für ein Ein/Ausgangstreiber? Ich kenn
> den T74HC574 als 8Bit DFlipFlop.
> Kennst ein Typ der auch eine Art Levelshifter drin hat?

In welcher Richtung möchtest du shiften? 5V -> 3,3V oder 3,3V -> 5V? An 
sich sind Levelshifter unnötig, da die XC95144XL 5V tolerant sind, sie 
besitzen also keine Schutzdioden. Ebenso der XC2C256, den ich eingesetzt 
habe. Da bin ich auch erstmal böse drauf reingefallen:
Ich dachte mir: Einfach ein paar Vorwiderstände vor die Eingänge und 
fertig ist der Überspannungsschutz, die Schutzdioden machen das schon. 
Nur da keine Dioden da sind, musste ich noch ein paar 74LVC245 vor 
schalten. Normale HC245 sind nämlich zu langsam. Die 74LVC245 sind auch 
5V tolerant, haben daher auch keine Schutzdioden. Daher habe ich an alle 
Eingänge noch schnelle Schottkydioden gegen 5V gelegt und 330 Ohm 
Vorwiderstände davor gesetzt. Jetzt sollten theoretisch dauerhaft selbst 
12V an den Eingängen ungefährlich sein (bei den älteren Versionen habe 
ich regelmäßig die 74AC245 Eingangstreiber geschossen, da man beim 
Messen schnell mal an die Betriebsspannung mit >5V kommt).
Was ich damit sagen möchte: 5V tolerante ICs sind als Eingangstreiber 
ohne zusätzliche Schutzmaßnahmen eine schlechte Lösung.

Generell Levelshifter:
3,3V -> 5V: HCTxxx
5V -> 3,3V: LVCxxx (und manche LVXxxx je nach Hersteller)

von Max (Gast)


Lesenswert?

Die Shifter sind als Eingang gedacht, um das zu Verhindern was du 
sagtest, dass wenn man gegen die 12V kommt, nicht der Speicher futsch 
geht oder ähnliches.

Vielen Dank für die Bilder. Es sieht sehr Interessant aus.
Benutzt du öfters die einfachen Fassungen? Ich nehm immer die Präsizion 
da ich einmal sehr schlechte Erfahrungen gemacht hatte(seeehr lange 
Fehlersuche wo nichts ist und die Fassung müll war...).

Mit Lochraster kann man eine Menge machen, da geb ich dir recht. Ich 
hatte auch schon ein ENC28j60 in SMD auf eine Lochraster gebaut, das 
läuft wunderbar.

Ansonst benutz ich auch gern MOSFETs um ein Levelshifter zu bauen, der 
ist recht universal. Da es die in SMD gibt, wird es auch klein.
Damit hab ich eine SD-Karteninterface für den ATMEGA gebaut und der 
läuft grübel bis 8MHz? stabil, müsste ich nochmal anschauen.

Ich werd mir die Geschichte wohl mit den alten Cachspeicher aufbauen, 
sind immerhin 64kx8. Da ich jetzt gar nichts hab in dem Bereich wär 
sowas schon Sinnvoll.

Danke für deine freundliche Hilfe immer.

von Benedikt K. (benedikt)


Lesenswert?

Max wrote:
> Benutzt du öfters die einfachen Fassungen? Ich nehm immer die Präsizion
> da ich einmal sehr schlechte Erfahrungen gemacht hatte(seeehr lange
> Fehlersuche wo nichts ist und die Fassung müll war...).

Ich benutze aus Kostengründen nur die billigen Fassungen (da ich 
generell alle sockele um im Fehlerfall nicht Löten zu müssen, da bei 
solchen Austauschaktionen erfahrungsgemäß nur noch mehr kaputt geht, 
wenn man erstmal >10 Drähte ab und richtig wieder anlöten muss. Nur wenn 
man ICs häufig wechselt gibts meiner Meinung nach Probleme. Dann 
verwende ich auch die Präzisionsfassungen.

> Ansonst benutz ich auch gern MOSFETs um ein Levelshifter zu bauen, der
> ist recht universal. Da es die in SMD gibt, wird es auch klein.

Mehr als 10MHz würde ich da aber nicht drüber schicken. Ich versuche 
Levelshifter wann immer möglich zu vermeiden, bzw. die Anzahl an 
Leitungen die geshiftet werden müssen möglichst klein zu halten. Dann 
lass ich lieber die gesamte Schaltung mit 3,3V laufen und baue z.B. für 
die Kontrastspannung vom LCD eine Ladungspumpe, anstelle einen 
Levelshifter für eine SD Karte einzubauen.

von MB (Gast)


Lesenswert?

Ich selbst warte nun - sicherlich neben vielen anderen Personen - nun 
schon fast 4 Wochen auf das CPLD Board von Pollin.

Eben musste ich enttäuschenderweise feststellen, dass ich diesen Bausatz 
im Onlineshop nicht mehr finden kann.

Hat jemand da genauere Informationen?

von MB (Gast)


Lesenswert?

(geht leider nicht als anonymer user) edit:

Wenn die Bestellnummer direkt eingetragen wird, dann wird der Bausatz 
gefunden vom System. Wurde sicherlich selbstständig vom System 
ausgetragen, da er nicht lieferbar ist.
Er ist nun aber dementsprechend vermerkt!

von netb (Gast)


Lesenswert?

Ich warte auch schon seit Ewigkeiten... :(

von Michael (Gast)


Lesenswert?

Das Board ist mittlerweile normal über die Shopseite zu bestellen. Ich 
denke (und hoffe), dass es demnächst auch wirklich verfügbar sein 
sollte. Wäre toll wenn jemand posten würde sobald er es hat.

Gruß Michael

von Marcus M. (netscannre)


Lesenswert?

Hallo Leute,

laut Pollin ist zumindest mein Board unterwegs => die anderen sollten 
auch kommen.
Sobald das hier ist, gibts die ersten Fotos und auch Berichte...

Gruß Marcus

von MB (Gast)


Lesenswert?

Hallo!

Ich habe mein Board soeben von der Post überreicht bekommen. Jedoch 
musste ich etwas mehr Geld zahlen, was aber sicherlich die 
Nachnahmegebühr war.
Fotos kann ich leider keine anbieten, jedoch wird das sicherlich jemand 
anderen übernehmen, der sein Board auch heute oder morgen in den Händen 
halten kann... ;)

(SRAM und CPLD sind vorgelötet)

von Marcus Ma (Gast)


Angehängte Dateien:

Lesenswert?

Hallo Leute,

habe heute den Bausatz erhalten, anbei die versprochenen Bilder.

IC's wie fast immer lose im Beutel, Schaumstoff ist von mir.
LED's fehlen bei mir (8 Stück)

Gruß Marcus

von Debugger (Gast)


Lesenswert?

Leute passt auf, ich habe mal kurz das Manual überflogen.
Die Bauteilbezeichnungen der IC´s stimmen nicht !!!

von Marcus Ma (Gast)


Lesenswert?

Hallo,

!!! OHNE GEWÄHR !!!
Debugger hat recht:
IC1 & IC2 = 74HC125
IC3 = SRAM (aufgelötet)
IC4 = CPLD ( aufgelötet)
IC5 = LM317
IC6 = 7805
IC7 = ULN2803
IC8 = MAX232
!!! OHNE GEWÄHR !!!

Gruß Marcus

von Marcus Ma (Gast)


Angehängte Dateien:

Lesenswert?

Nachtrag,

die Beschriftung der Platine ist nicht identisch mit der Beschriftung 
des abgebildeten Layouts!!!
Die Bestückungsliste ist anscheinend korrekt!!!!

Gruß Marcus

von Steve M. (millersteve)


Lesenswert?

Hallo Leute!

Board ist heute gekommen, und auch eine Mail von Pollin.
Achtung!  Die mitgelieferte Beschreibung gleich wegwerfen, und unter 
Pollin - Service - Downloads die aktuelle herunterladen. Hier sind dann 
auch die Bauteilbezeichnungen weitgehend korrekt.

Folgendes ist mir aufgefallen:

XC95144XL mit Speedgrade 10ns,
Speicher Samsung K6X1008C20-55 (sollte 621024 entsprechen),
Platine sieht ordentlich aus,
Reihenmaß der Kondensatoren (2,54 bzw. 5,08) ist ziemlich durcheinander 
- daher eventuell eigene Kondesatoren mit dem richtigen Maß verwenden,
in der Bauteileliste gibt es einen C23 - bis jetzt aber weder im 
Schaltplan noch auf der Platine (100µ),
der in der Bauteileliste fehlende C19 ist wohl ein 100n (3V3-Block am 
XILINX),
LED's waren bei mir enthalten,
der Kühlkörper passt nicht (zu flach), verwende deshalb einen eigenen 
aus der Bastelkiste (Achtung auf die Vias unter dem Kühlkörper 
aufpassen!!),
alle Widerstände sind Kohleschicht, werde lieber Metallschicht nehmen.

Alles in allem - hätte schlimmer kommen können.

Die SMD waren sorgfältig verlötet, das noch anhaftende Flussmittel ließ 
sich problemlos mit Spiritus entfernen.

An den Aufbau geht es erst morgen.

Viel Spaß beim Löten!

von Christian (Gast)


Lesenswert?

Hallo zusammen,

auch mein Board ist gestern gekommen. Zum Bausatz sage ich nix, das ist 
eben Pollin. War aber alles vollständig, hatte sogar ne LED und ein 10uF 
Kondensator zu viel drinnen :)

Dann habe ich auf Xilinx.com die Software runtergeladen und installiert. 
Dann wie beschrieben den SP3 runtergeladen und installiert.
Jedoch fiel mir schon nach der Installation des Programms auf, dass das 
Desktop Icon nicht das ist wie das in dem Pollin Note. Bei mir heißt das 
Programm im Startmenü "Impact" und sieht von der Oberfläche her auch 
anders aus wie das im Pollin Note. Habe ich was falsches runtergeladen?

von Benedikt K. (benedikt)


Lesenswert?

Christian wrote:
> Bei mir heißt das
> Programm im Startmenü "Impact" und sieht von der Oberfläche her auch
> anders aus wie das im Pollin Note. Habe ich was falsches runtergeladen?

Ja. Impact ist nur die Software um den CPLD zu programmieren, nicht 
jedoch die Software um die Software zu schreiben.

von isis (Gast)


Lesenswert?

Hallo,

habe mein Board auch gerade erhalten und die E-Mail von Pollin, dass das 
Manual für die Tonne sei und auf der Homepage von Pollin ein neues zu 
Verfügung steht. Aber da ist nur eine Datei mit null Byte und keine 
Download Möglichkeit. Oder suche ich an der falschen Stelle. Hat jamand 
das richtige Manual?

von Marcus M. (netscannre)


Angehängte Dateien:

Lesenswert?

Hallo Leute,

hab eben gesehen, dass bei Pollin nun die downloadbar Software ist, aber 
die Beschreibung fehlt -> siehe Anhang.

Gruß Marcus

von Christian (Gast)


Lesenswert?

@Benedikt K.

Also ich lade auf Xilinx.com im Downloadbereich folgende Software runter

******************************

ISE WebPACK
A FREE, easy-to-use software solution for your Xilinx CPLD or 
medium-density FPGA design

Current: 10.1 - March 2008
Requirements: OS | Memory
Product Info: Free ISE WebPACK
Download: Download ISE WebPACK

******************************

Update Type: ISE Service Pack

Version: 10.1

OS: Windows

File Type: EXE (675 MB )

Release Date: 09/19/2008

******************************

Aber nach der Installation habe ich lediglich dieses Impact Programm zur 
Verfügung :-(

von Benedikt K. (benedikt)


Lesenswert?

675MB sind etwas wenig. Es sollten 2,25GB sein.

Das hier brauchst du:
http://www.xilinx.com/ise/logic_design_prod/webpack.htm

Einloggen und dem Link folgen.

von Marcus M. (netscannre)


Lesenswert?

@Chrisitan

bei der Installation bitte achte dadrauf, das die Standalone Progrmming 
Tools mit installiert werden. Standartmäßig sind die deaktiviert!

Gruß Marcus

von Christian (Gast)


Lesenswert?

@Benedikt K.
Genau diese Datei hatte ich zuvor runtergeladen

@Marcus Ma
Hatte ich auch alles gemacht

Habe mal nachgeschaut, mein Xilinx Ordner auf meiner Platte hat eine 
Gesamtgröße von 2,23 GB.

Sollte ich vielleicht mal eine andere Version versuchen?

Hat schon jemand Ver10.1 installiert und es hinbekommen?

von R. W. (quakeman)


Lesenswert?

Ich habe mir ISE WebPack 10.1 vor ein paar Monaten heruntergeladen und 
das Installationspaket ist 2,23Gb groß.
Zusätzlich habe ich mir noch den ServicePack mit 497MB und das IP Update 
mit 611MB heruntergeladen. Damit habe ich alles zur Verfügung was ich 
brauche.
Dazu habe ich noch den kostenlosen Modelsim XE III 6.3c Simulator mit 
205MB und das ServicePack mit 125MB heruntergeladen.

Auf der Festplatte installiert benötigt ISE Webpack 10.1 bei mir 4,89GB 
mit 79128 Dateien. Modelsim XE III benötigt installiert 599MB mit 25749 
Dateien.

Und ISE Webpack und Modelsim XE funktionieren beide problemlos. Auch die 
Verbindung zwischen beiden Programmen.

Ciao,
     Rainer

von befro (Gast)


Lesenswert?

Hallo,

mich würde interessieren, was ihr so für Projekte mit dem Pollin Board 
machen  wollt.
Mit fällt im Moment folgendes ein:

- Sigmal-Delta ADC mit 2 Widerständen + 1 Kondensator
- Sigma-Delta Dac mit RC-TP
- Signalgenerator
- Musiksynthesizer
- Logikanalysator
- Speicheroszilloskop
- 8 Bit Prozessor
- mini Telespiel

Ich habe gesehen, dass isch auf dem Board eine serielle Schnittstelle 
befindet, gibt es dazu einen passenden VHDL Code für eine UART, der 
ziemlich Resourcenschonend ist?

Was meint ihr dazu,

befro

von netb (Gast)


Lesenswert?

Hallo,

ich habe mir auch das CPLD Board von Pollin geholt. Leider habe ich 
keinen Parallelport. Hat jemand Erfahrung mit einem PCI 
Parallelport-Controller?

Zum Beispiel solch einem hier:
http://www.alternate.de/html/product/Mainboards_Zubehoer/Diverse/Multi_I-O-Karte_PCI_2S+1P/315046/?

Es steht da:
"Unterstützt Standard Parallel Port (SPP), Enhanced Parallel (EPP) & 
Enhanced Capability Port (ECP)"

Nun sagt mir das jetzt erst einmal recht wenig, ob das wirklich ein 
vollwertiger Ersatz ist. Aber vielleicht kennt sich jemand von euch 
damit aus?

Bis dann,
Netb

von Cyrill (Gast)


Lesenswert?

Hallo netb,

hab im Zuge eines Rechnerwechsels ein zusätzlichen LPT-Controller 
gekauft, allerdings diesen hier:

http://www.delock.de/produkte/gruppen/IO+Karten/PCI_Karte_1x_Parallel_89015.html

Ich benutze den im Moment für das AVR-Board von Pollin, funktioniert 
ganz gut, über/mit PonyProg. Als Umgebung nutze ich Eclipse.
Das wesentliche Problem, was ich hatte, war die genaue Adresse des LPTs 
zu ermitteln, standardmäßig ist diese im BIOS zu finden ggf. 
einzustellen. Hier natürlich nicht, funktioniert aber.

Wie es mit dem CPLD-Board ausschaut, ka, warte noch auf die Lieferung.

Für 10€ würde ich sagen, einfach ausprobieren.

schöne Grüße

Cyrill

von stefan_z (Gast)


Lesenswert?

Ich habe ne PCI-Karte von KM-Elektronik (12€ oder so) mit 2x 232 und 1x 
Parallel.
Tuts wunderbar mit PonyProg, wundert mich sowieso, dass die USB-Adapter 
nicht wirklich funktionieren - wer noch nen PCI hat freut sich ;-)

von netb (Gast)


Lesenswert?

Na mensch,

dass hört sich doch alles super an. Vielen Dank für die Infos. Falls 
eure Platinen eintreffen und es doch nicht geht, schreibt es doch bitte 
hier. Ansonsten gucke ich mal wo ich mir solch eine Karte günstig holen 
kann.

Bis dann,
Netb

von befro (Gast)


Lesenswert?

Heute kam mein Pollinpacket, aber leider ohne CPLD Kit. Mist. Jetzt habe 
ich mich schon so gefreut. Aber wenigstens steht drinn, dass die 
Nachlieferung sobald sie verfügbar ist, ohne extra Kosten versandt wird.

von Marcus M. (netscannre)


Lesenswert?

Hallo Leute,


hab mein CLPD-Board mitlerweile zusammengelötet, alles soweit bestens, 
nur wenn ich es versuche zu programmieren oder nur die device ID 
auszulesen, kommen meist Fehler, dann funktioniert es mal wieder usw. 
Hab die 75HC125 schon getauscht, die Dtenleitungen mit dem Oziloscope 
mal angeschaut.
Ich finde das Problem leider nicht!!
Hoffe mir kann da jemand Helfen

Gruß Marcus

Mal ein Log von Xilinx Impact beigefügt:

// *** BATCH CMD : ReadIdcode -p 1
INFO:iMPACT:583 - '1': The idcode read from the device does not match 
the idcode in the bsdl File.
INFO:iMPACT:1578 - '1':  Device IDCODE : 
00000110010110001000000010010011
INFO:iMPACT:1579 - '1': Expected IDCODE: 
00001001011000001000000010010011

// *** BATCH CMD : ReadIdcode -p 1
Maximum TCK operating frequency for this device chain: 0.
Validating chain...
Boundary-scan chain validated successfully.
INFO:iMPACT:583 - '1': The idcode read from the device does not match 
the idcode in the bsdl File.
INFO:iMPACT:1578 - '1':  Device IDCODE : 
00000000000000000000000000000001
INFO:iMPACT:1579 - '1': Expected IDCODE: 
00001001011000001000000010010011
'1': IDCODE is '01011001011000001000000010010011'
'1': IDCODE is '59608093' (in hex).
'1': : Manufacturer's ID =Xilinx xc95144xl, Version : 5

// *** BATCH CMD : ReadIdcode -p 1
Maximum TCK operating frequency for this device chain: 0.
Validating chain...
Boundary-scan chain validated successfully.
'1': IDCODE is '00101100101100001000000010010011'
'1': IDCODE is '2cb08093' (in hex).
INFO:iMPACT:1588 - '1':The part does not appear to be Xilinx Part.
'1': : Manufacturer's ID =Unknown , Version : 2

von Benedikt K. (benedikt)


Lesenswert?

Das Problem ist weit verbreitet.
Folgende Lösungen gibt es:
- Kabel zum PC radikal kürzen (hat bei mir immer geholfen)
- Schaltung modifizieren (22pF vergrößer auf 100-200pF), oder am besten 
auch noch Cs vor die Eingänge des 125er setzen.
- Einen ordentlichen JTAG Adapter verwenden.

von Marcus M. (netscannre)


Lesenswert?

Hallo Benedikt,

Danke für Deine Antwort, werd mal versuchen die C's zu vergrößern....

Leider tuts mein Dragon dafür nicht :-(

Gruß Marcus

von Benedikt K. (benedikt)


Lesenswert?

Oder versuch mal das ganze so anzupassen wie hier:
http://www.geocities.com/jacquesmartini/digital/schematic/Parallel_Cable_III.png

Diese Schaltung soll sehr viel besser gehen.
Wichtig sind hier C1 und der darauf folgende Schmittrigger, der die 
Taktflanken säubert und Spikes verhindert.

von Marcus M. (netscannre)


Lesenswert?

Zwischenbericht:

Hab mal die 22pF Kondensatoren gegen 180pF getauscht. Hat nur 
geringfügige Verbesserungen gebracht. Imernoch das gleiche Problem, nur 
nicht mehr so extrem

Gruß Marcus

von Max (Gast)


Lesenswert?

Ich habe mein auch bekommen und zusammengebaut, mit einem 2meter Kabel 
und gengerchancer(ST/ST) funktioniert es Wunderbar.

Ich hab eine Frage mal wieder, hat wer einer ein gutes Tutorial für 
die schematische Programmierung, vielleicht sogar auf Deutsch?
Wollte mir die Sache erstmal grob anschauen.

Gruß Max

von ajax (Gast)


Lesenswert?

Ein gutes Tutorial findet sich beim ISE Webpack (allerdings Englisch).

von Jörg H. (idc-dragon)


Lesenswert?

Ich habe das auch schon eine Weile bestellt gehabt, war nicht lieferbar, 
aber heute kam die Nachlieferung mit dem CPLD-Bausatz.  :-)

Auf meiner Anleitung steht "Stand 05.02.2009" drauf, vermutlich ist das 
eine aktualisierte Version? Jedenfalls war kein Zettel mit Verweis auf 
die Online-Version dabei.

Ich bin mal gespannt wie sich das so benutzen läßt. Sind meine ersten 
Gehversuche mit HDL-Zeugs.

von faustian (Gast)


Lesenswert?

Wo es um schnelle SRAMs geht: Da sind mir letztens die in alten 
SECC/SECC2 CPU-Modulen verbauten aufgefallen... Bereich 3-5ns(!!), 
allerdings 3.3V undTQFP-Gehaeuse....

von befro (Gast)


Lesenswert?

Hurra, jetzt nach 4 Wochen ist mein CPLD-Board auch endlich 
eingetroffen. Ich werde jetzt also mit dem Zusammenlöten beginnen.

Hat jemand schon mal ein kleines Beispielprojektchen damit gemacht? 
Gibt's denn schon irgendwo Beispielcode?

Vielen Dank für eure Hilfe,
berfo

von Michael G. (teslazwerg)


Lesenswert?

bei Pollin selbst gibt es zu dem Board downloads, da sind ein paar 
Beispiele dabei. Einfach mit Impact auf den CPLD übertragen und sich 
freuen :)

mehr hab ich allerdings selbst noch nicht damit gemacht

Gruß Michael

von Philipp E. (pcsquirrel)


Lesenswert?

@tinman

könntest du das mit dem Logic Nachbau von saleae in wenig genauer 
erklären.
Den würd ich mir auch gern bauen :-)

danke
pcsquirrel

von befro (Gast)


Lesenswert?

Sollte ich mich täuschen, oder geht es inn diesem  Thraed um die 
Erfahrungen mit dem Pollin-CPLD Board?

von befro (Gast)


Angehängte Dateien:

Lesenswert?

Hier mein erster Versuch mit dem Pollin Board. Ich habe das Blink Demo 
File so angepasst, dass nicht nur eine LED blinkt, sondern die oberen 8 
Bits eines 27 Bit Zählers auf die LEDs ausgegeben wird. Damit lässt sich 
die Funktion aller LEDs auf dem Board testen.

Wer hat schon andere Projektchen umgesetzt?

befro

von befro (Gast)


Lesenswert?

So, hier noch ein kleines Schieberegisterdemo für's Pollin board. Da ich 
noch ein Neuling in Sachen VHDL bin, weiss ich nicht, ob meine 
Realisierung optimal ist. Ich habe einfach mal einen Counter und ein 
Schieberegister in ein File gesteckt und die beiden über Zwischensignale 
verbunden.
Das ganze funktioniert gut. Es wird immer ein Leuchtbalken durch die 8 
LEDs geschoben.
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
6
entity blinklicht is
7
    Port ( clk : in  STD_LOGIC;
8
           led : out  STD_LOGIC_VECTOR(7 downto 0 ));
9
end blinklicht;
10
11
architecture Behavioral of blinklicht is
12
13
   SIGNAL counter : STD_LOGIC_VECTOR (24 downto 0);
14
15
  SIGNAL internerClk : STD_LOGIC ;
16
  SIGNAL data : STD_LOGIC_VECTOR (7 downto 0 ) := x"01";
17
18
  SIGNAL shiftregisterInput : STD_LOGIC ;
19
begin
20
21
   los_gehts: PROCESS (clk)
22
  BEGIN
23
     IF RISING_EDGE(clk) THEN
24
       counter <= counter + '1';
25
    END IF;
26
  END PROCESS los_gehts;
27
28
  shiftregisterInput <= counter(24);
29
30
  internerClk <= counter(20);
31
32
  schieberegister: PROCESS (internerCLK)
33
34
  BEGIN
35
36
    if RISING_EDGE(internerCLK) THEN
37
38
      data(7 downto 0) <= data(6 downto 0 ) & shiftregisterInput;
39
40
    END IF;    
41
42
  END PROCESS schieberegister;
43
44
   led <= data;
45
46
end Behavioral;

von befro (Gast)


Angehängte Dateien:

Lesenswert?

Eventuell liese sich mit dem Pollin-Boar ein kleiner Logikanalysator 
bauen, da es ja 128KByte Speicher Onboard hat.

Ich habe damit angefangen, ein VHDL-File zu schreiben, das einen 
Zählerstand auf die serielle Schnittstelle ausgibt. Leider werden schon 
37% der Register des xc95144 CPLDs verbraucht und bis jetzt wird der 
Speicher noch nicht angesprochen. Ich hoffe, dass die restlichen 
Resourcen des CPLS zur Ansteuerung des Rams ausreichen.

Im Anhang findet sich das Programm. Etwas ärgerlich ist, dass ich den 
Wert für den Vorteiler binär angeben musste, da ich nicht herausgefunden 
habe, wie man in VHDL eine Vergleichskonstante definieren kann.

von befro (Gast)


Angehängte Dateien:

Lesenswert?

So, hier gibt's ein neues Update: Bei diesem Programm werden die ersten 
paar Datenbytes vom Ram ausgelesen und an die LEDs und auf die serielle 
Schnittstelle ( 9600 Baud ) ausgegeben.

von befro (Gast)


Lesenswert?

Hallo zusammen,

den von einer seriellen Schnittstelle in einem CPLD empfangenen Wert 
möchte ich mit einen Ascii-Zeichen vergleichen, um dann eine Aktion 
auslösen zu können.
Wenn also z.B. ein "A" empfangen wird, soll im CPLD irgend etwas 
passieren.

Im folgenden VHDL Code wird der Datenwert mit einer Binärzahl 
verglichen. Gibt es die Möglichkeit, auch direkt mit einem Ascii-Zeichen 
zu vergleichen?
1
signal data: STD_LOGIC_VECTOR (7 downto 0 );
2
begin
3
4
5
  serialReceived: process (clkIn)
6
7
  begin
8
9
      if RISING_EDGE(clkIn) then
10
11
        if(data =  "01010000") then 
12
        
13
...

Gruß,
befro

von jojansen (Gast)


Lesenswert?

Moin,
gibt es einen Grund dafür, daß sich auf dem Foto vom Board in der 
Anleitung und bei meinem Bausatz eine 25pol SubD BUCHSE befindet? Der 
LPT Anschluß von meinem Rechner ist auch ein Mädel......
Fiel mir gerade auf, da Ich damit jetzt spielen wollte.
Oder habe Ich einen Denkfehler????
:-) Johannes

von Gernot (Gast)


Lesenswert?

Das mir der Buchse ist mir auch aufgefallen.
Am besten ist es sowiso sich ein kurzes Kabel zu bauen.Einfach 1zu1.
Zuerst hatte ich einen 1zu1 adapter gelötet was aber nur auf meinem 
neueren PC klappte. Die Verlängerung war zu lang...

Ansonsten ein wirklich schönes Bord.
Achja. wenn man den eingebauten JTAG-adapter nutzt um externe 95xx.. zu 
programmieren muß man das richtige Massepin verwenden. Dieses Pin ist 
bei den "interner Programmer" genennten Seite offen.

Gernot

von befro (Gast)


Lesenswert?

>Der LPT Anschluß von meinem Rechner ist auch ein Mädel......
>Fiel mir gerade auf, da Ich damit jetzt spielen wollte

Ja, das mit der Buchse ist etwas doof. Aber möglicherweise ist die 
Buchse für den Anschluss des Kabels gedacht, bei  dem man früher 
zwischen 2 PCs mit dem Nortencommander Dateien verschoben hat, als es 
noch keine Netzwerkkarten gab ( Wie hieß das Kabel noch, Crosslink ? ). 
Gibt es eigentlich sonst Verlängerungskabel für 25pol Sub-D, bei dem 
alle Litzen durchverbunden sind? Eventuell könnte man dann ja gleich 
einen Stecker aufs Board löten ( vorausgesetzt die Polarität stimmt.

Auf dem Pollinboard finde ich ganz nett, dass ein SRAM drauf ist. Hier 
vollständigkeitshalber noch mein Übungsprojekt:

Beitrag "Logic Analyser mit Pollin CPLD Board"

Es wäre schön, wenn noch ein paar Leute ihre Projekte oder Ideen posten 
würden.

Gruß,
befro

von jojansen (Gast)


Lesenswert?

Gut. Morgen mache ich mir in der Firma eine Flachbandstrippe. Kurz geht 
auch, da der Rechner auf dem tisch steht.
:-) Johannes

von Uwe (Gast)


Lesenswert?

Hallo,

bei Reichelt gibt es für 1,40 € das passende Kabel.

AK 401 :: D-SUB Kabel, 1:1, 25-pol., ST/ST, 1,8m

Habe es auch, nachdem es mit einem aus der grossen Kiste nicht gahen 
wollte.

von Benedikt (Gast)


Lesenswert?

Hallo,
hab mir das Pollinborad gekauft und aufgebaut.
Nun wollte ich es programmieren und habe dazu ein 3Meter langes Parallel 
1:1 Kabel.
Es hat damit nicht funktioniert.

Nun habe ich hier gelesen, dass es an der langen Leitung liegen könnte.
Gibt es eine Möglichkeit diese Diagnose zu untermauern? Ein Log von ISE 
Webpack etc.?

Wie lang sollte die Leitung max. sein?

mfg Benedikt

von Falk B. (falk)


Lesenswert?

@  Benedikt (Gast)

>Wie lang sollte die Leitung max. sein?

Das Problem sind "Zacken" auf dem Takt. Die muss man mit Tiefpassfilter 
und Schmitt Trigger sauber machen. Bau das hier nach, das ist 
bombemsicher, auch mit 3m Kabel.

http://www.geocities.com/Jacquesmartini/digital/schematic/Parallel_Cable_III.png

MFG
Falk

von VonNixNeAhnung (Gast)


Angehängte Dateien:

Lesenswert?

öhm... Falk!
Hast Du dir auch schon mal den Schaltpan vom Pollin-Board angesehen?

SCNR ;)

von Benedikt K. (benedikt)


Lesenswert?

Worauf es ankommt ist das RC Glied vor dem Schmitttrigger in der 
Clockleitung. Beides ist bei dem Pollin Board nicht vorhanden.

von halodri (Gast)


Lesenswert?

Kommt man eigentlich mit dem platform cable direkt an die Platine, oder 
sind die Anschlüsse nicht raisgeführt?
Ich kann das auf den Bildern nicht genau erkennen. Sieht aber ao aus, 
als ob man nur über die Parallele Schnittstelle gehen kann.

Ich hab leider nur einen Laptop, könnte mir aber einige zeit das Xilinx 
Platform Cable USB ausleihen.

von Gast (Gast)


Lesenswert?

Die Leitungen sind gejumpert.
Sie Anhang von VonNixNeAhnung.

von Falk B. (falk)


Lesenswert?

@  VonNixNeAhnung (Gast)

>Hast Du dir auch schon mal den Schaltpan vom Pollin-Board angesehen?

Nöö. Aber jetzt.

Dort ist die gleiche sinnlose Schaltung drin wie im originalen 
Parallel-Cable-III von Xilinx. Mit sinnlos verdrehten Tiefpässen (C 
direkt an den Ausgängen, AUA!) und OHNE Schmitt-Trigger 
(Doppel-AUA!).

MFG
Falk

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

Hat inzwischen mal jemand nen paar Tests mit dem verbauten SRAm gemacht? 
Wie schnell läßt der sich in etwa ansprechen?
Aus benedikts antowrt les ich raus das bei 18Mhz theoretisch Schluss 
sein sollte.

von Iulius (Gast)


Lesenswert?

Hallo !

ich hoffe mir kann jemand helfen, ich komme einfach nicht weiter...


Möchte das fertige Pollin Board über Impact einfach mal mit einem der 
Beispiele testen, aber es tut erstmal garnichts.

die autoconfiguration meldet sich nur mit :

"Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Installing WinDriver6...Installing WinDriver6...
 Installer exit code = 1.
Successful.
...das gleiche für lpt2-4...
PROGRESS_END - End Operation.
Elapsed time =      1 sec.
Cable autodetection failed."


hat jemand eine idee warum das so sein könnte ?

nutze ein normales 1:1 kabel.


Vor allem wüsste ich gerne ob das Board mit Strom versorgt sein muss 
damit Impact das Kabel erkennt.

Die Netz-LED leuchtet zwar, aber kann ja sein das irgentwo noch der Wurm 
drinne ist.... so könnte ich den Fehler wenigstens eingrenzen.


Danke schonmal.

von Ich (Gast)


Lesenswert?

>Vor allem wüsste ich gerne ob das Board mit Strom versorgt sein muss
>damit Impact das Kabel erkennt.

Ja. Und der PC sollte auch eingeschaltet sein :-)

von Iulius (Gast)


Lesenswert?

Habs jetzt doch hinbekommen, lag daran dasd Vista 64bit die Treiber 
einfach blockt, auch im Admin Modus.
Zwar zeigt Impact an das der Treiber installiert wurde, das ist aber 
nicht der Fall.

Achja : das board muss übrigens nicht an sein, das Kabel wird auch so 
erkannt.
Natürlich kann man dann noch nichts übertragen ;)

Läuft jedenfalls bisher super mit 1,8m Kabel, hatte noch keine 
Übertragungsfehler.

von Iulius (Gast)


Lesenswert?

So, ich mal wieder.

Hat jemand schonmal versucht direkt auf die jtag Pins des Pollin boards 
zuzugreifen ?

Ich bin derzeit ein wenig verwirrt bezüglich der Belegung.

Die 4 wichtigen Leitungen sind ja auf eine stiftleiste geführt und über 
"interner" und "externer" Programmer per Jumper verbunden.


Schön und gut, doch wie schließe ich jetzt dort meine jtag leitung an ?

Das Schaltbild sagt darüber leider nichts aus und auf dem Board selbst 
erkennt man(ich) es nicht.


Ich habe nun beides versucht und bin gescheitert.


Wenn ich an den externen programmer-pins anschließe liefert mir tdo 
immer GENAU das wieder was ich mit tms rein schicke, egal was tdi und 
tck machen.

Das scheint mir völlig falsch zu sein.


An den Pins vom Internen programmer sieht es aber auch nicht viel besser 
aus.

Dort sieht die Funktion so aus :

tdo = tdi+tck or tms+tck
und das dauerhaft !

Hab natürlich versucht die Register zu wechseln usw, aber es tut sich 
nichts, diese Sinnlos-Funktion bleibt vollständig erhalten.


Hat jemand eine Idee wie man weiter vorgehen könnte zum Testen ?


Klar kann ich über die parallele Schnittstelle direkt gehen, das 
funktioniert ja, aber das Gerät das kommunizieren soll hat diese leider 
nicht.

von Eddie K. (etstudent)


Lesenswert?

Hallo,
auch ich habe mir das Board geholt.
Fürs Programmieren habe ich mir ein Parallel-Kabel gebastelt. Dazu habe 
ich mir zwei Stecker 25-pol. besorgt. und mit 9 Adern die Pins 
2,3,4,5,6,12,13,15,25 verbunden (Also Pin 2 von Stecker 1 mit Pin 2 von 
Stecker 2 usw.). ISE Webpack habe ich mir die 6.3 runtergeladen.
Das Problem ist, dass Impact keine Verbindung herstellen kann. Das 
Problem scheint schon das Kabel zu sein, denn nach Starten des Boundary 
Scan Modes
meldet er beim LPT-Port
>LPT Base address = 0378h
>ECP base address = 0778h
>Cable Connection Failed.
Muss ich vielleicht noch andere Pins am Kabel verbinden, obwohl sie am 
Board nicht verwendet werden? Die Kabellänge ist mit ca. 40cm schon 
ziemlich kurz, denke ich.
Die Spannungen an den Pins gemessen am Board DC-mäßig gegen Ground sind: 
2-4: 3,26V;  5-6:45mV;  12: 4,63V;  13: 60mV;  15:4,63V;  25: 0V

Jemand eine Idee?
Vielen Dank

von Iulius (Gast)


Lesenswert?

Warum kaufst du dir nicht einfach ein simples parallel kabel anstatt 
dort irgentwas komisches zu basteln ?

Ein parallel Kabel direkt von pollin mit 1,8m tut es hier wunderbar.


Vielleicht hast du da was falsch verstanden...der interne Programmer auf 
dem Board macht das schon mit der Umbelegung, so dass ein stinknormales 
Kabel wie ein parallel cable 3 erkannt wird.


Achja, zu meinem Post dort oben : irgentwas muss bei den jtag pins auf 
dem pollin board falsch gelaufen sein, denn dort liegen bestimmt nicht 
die 4 jtag Leitungen.

Hab nun schon diverse Systeme direkt über die jtag pins programmiert(mit 
anderen fpgas/cplds), deswegen kann ich mir da ziemlich sicher sein.

Im Moment sehe ich außer einem parallel kabel leider keine Möglichkeit 
den chip zu programmieren.

von Mike (Gast)


Lesenswert?

Hmmm. -

zum Programmieren via JTAG.

Ich benutze ein XILINX Platform Cable USB II und habe angeschlossen:

(Reihenfolge: CPLD Eval Platine von oben gesehen, JTAG Anschlüsse auf 
der rechten Seite, Parallel Port von mir abgewandt, JTAG Pins von oben 
nach unten)


Pollin Board Beschriftung      XILINX Kabel Beschriftung
3.3V                           VREF
GND                            GND
TCK                            TCK/CCLK/SCK
TDO                            TDO/DONE/MISO
TDI                            TDI/DIN/MOSI
TMS                            TMS/PROG/SS

Die XILINX 3-Fach Bezeichnung: JTAG/Serial/SPI

Funzt bei mir Prima.

von Mike (Gast)


Angehängte Dateien:

Lesenswert?

Ich habe mal ein Photo gemacht zum Thema JTAG

von Iulius (Gast)


Lesenswert?

Danke für die Rückmeldung und das Bild !

Werd mich mal einlesen was das plattform cable usb II so macht und vor 
allem was es schickt...

Ich bekomme nur die oben beschriebene Reaktion, also tdo völlig 
unabhängig von tck und tdi immer genau mit dem wert von tms(auch ohne 
delay, als wären die kurzgeschlossen)

Eventuell wird da entgegen dem üblichen Standard ein bestimmter Takt 
vorausgesetzt oder 3,3V /ground muss verbunden sein.

Beides ist jedoch über parallel port nicht nötig...läuft ohne jumper auf 
den 2 pins problemlos und mit dem Takt kann ich dort auch machen was ich 
will. ( wie bei diversen anderen eval boards und custom designs wo ich 
das erfolgreich durchgeführt habe auch)


Eigentlich brauche ich es ja gar nicht unbedingt, aber eine derart 
merkwürdige Reaktion macht mich dann doch neugierig :)

von Eddie K. (etstudent)


Lesenswert?

Habe mir ein Parallel-Kabel ersteigert, hoffe, es klappt damit, mal 
schauen.

von Mike (Gast)


Angehängte Dateien:

Lesenswert?

Mir fällt auf, das besonders die Beschaltung des TDO unterschiedlich ist 
bei den verschiedenen parallel Schaltungen, die mir vorliegen.

Die von Falk bemängelte Kondensator/Resistor Schaltung (ich sehe das in 
etwa auch genauso, zunächst): Am Zielobjekt ist allerdings noch ein ca. 
10k Pullup zu erwarten laut XILINX. Ändert aber nicht viel.

Bezüglich der TDO Beschaltung und der seltsamen Tiefpass-Anordnung an 
den Output Leitungen: Vor dem USB Platform Cable benutzte ich folgendes 
Parallel-Kabel, ohne Probleme siehe Schaltung anbei. Käuflich bei 
www.primus.de

von Mike (Gast)


Lesenswert?

Ooops. www.primuscomputer.de - sorry der vorige Link war falsch

von Sebastian M. (cyberseb)


Angehängte Dateien:

Lesenswert?

Hallo zusammen,

von mir ein kurzes Feedback zu Henrik Haftmanns USB2LPT: es 
funktioniert! :-)

Hier die lange Version:

- USB2LPT 1.7 funktioniert einwandfrei mit dem Pollin CPLD-Board mit 
Xilinx ISE 6.3i, sogar unter Windows XP! Die Datei windrvr6.sys (der 
Treiber von ISE) ist bei mir in Version 10.1.0.0 installiert, das kann 
noch von ISE 11 sein.

- Interessanter Weise findet bei mir kein Debug-Register-Klau unter XP 
statt. Möglicherweise wird der Klau auch nur nicht von dem Treiber 
erkannt - aber es funktioniert tatsächlich sehr gut. Das Verifizieren 
schlägt sporadisch mal fehl - dann einfach noch einmal anstoßen. Bisher 
wurde bei mir noch nichts fehlerhaft programmiert. Unter Windows 2000 
funktioniert es (natürlich) auch, aber diese VM kann ich jetzt von der 
Platte löschen.

- Die beste Programmiergeschwindigkeit lässt sich erzielen, wenn der 
Schreibcache auf 22 ms begrenzt wird (maximal ca. 45 ms möglich, ist 
dann aber langsamer). Die durchschnittliche Programmierzeit beträgt dann 
im Schnitt 145 Sekunden (echte parallele Schnittstelle gefühlte 5 
Sekunden :-( - aber das war mir vorher klar). Für den "kleinen" CPLD 
absolut OK, zumal bei VHDL die Mühlen eh langsamer mahlen ... :-)

- Für ISE die Umgebungsvariablen setzen.

- Unter Parallels Desktop 4 am Mac sollte der virtuelle Parallelport 
deinstalliert werden. Es geht zwar auch mit, aber das Treiberfenster von 
USB2LPT wird dann sehr instabil.

Inzwischen habe ich auch die Minimalversion (Karl-Heinz Schröter) von 
1.6 mehrmals aufgebaut, zuletzt auch auf einer geätzten Platine (wegen 
der kürzeren Leitungen). Alle Versuche schlugen fehl. Es kann zwar eine 
USB-Verbindung aufgebaut werden (das Gerät wird ordentlich enumeriert 
und bleibt es auch), allerdings kann der Treiber nicht mit dem AVR 
kommunizieren. Zum Beispiel hängt sich das Monitor-Fenster auf, ebenso 
Xilinx ISE beim Chain-Scan. Möglicherweise ein Problem mit der 
USB-Taktung.

Mit Quarz und 1.5er Firmware wird das Gerät bei mir nicht richtig 
enumeriert, es wird immer wieder "aus- und eingehängt".

An der Firmware habe ich sonst nichts geändert und sie auch nicht neu 
kompiliert.


Ich kann den USB2LPT nur empfehlen. Sicher ist ein USB JTAG-Kabel 
schneller, aber die Parallelschnittstelle kann man sicherlich auch noch 
für andere Sachen gebrauchen.

Henrik Haftmann hat da ein erstklassiges Projekt auf die Beine gestellt. 
Hut ab!

Viele Grüße, Sebastian

von Slawo J. (slawo)


Lesenswert?

Hallo Allerseits,
habe mir das Pollin Brett nun auch besorgt.
Ich betreibe es unter Ubuntu, also wenn jemand das auch machen will, es 
geht. Braucht etwas Fummelei, aber es geht dann eigentlich hervorragend. 
Bisher arbeite ich aber noch mit dem Parallel-Kabel, was natürlich eine 
gewisse Einschränkung bedeutet. Die neueren PCs haben nämlich gar keine 
passende Schnittstelle mehr. Von den neueren Laptops ganz zu schweigen.
Was ich auch sehr gut finde ist das "passende" Buch auf 
buecherbillig.de. Der Autor verwendet dort zwar ein XC9572, aber das 
XC95144XL auf dem Pollin Brett ist ja noch größer. Das andere Zeugs von 
dem Experimentierboard im Buch kann man ja auch extern anschließen.
Die Bauteile waren übrigens alle vorhanden, die Aufbauanleitung in 
meinen Augen ausreichend und der Aufbau hat mich vielleicht eine bis 
zwei Stunden gekostet. Brett hat dann auch auf Anhieb funktioniert.
Gruß an alle
Slawo.

von Micha (Gast)


Lesenswert?

Hallo,
weiß jemand ob man auch beim ISE Webpack 10.1 mit dem USB2LPT Adapter 
von Henrik Haftmann die CPLDs mit Hilfe der 
Parallelport-Programmier-Schaltung programmieren kann? Was muss man denn 
in der Gerätemanager beim "h#s USB-zu-LPT-Umsetzer"  einstellen und was 
bei ISE ("cable setup...").
Ich habe schon stundenlang alle Settings kombinatorisch durchgespielt 
aber

ISE gibt immer "WARNING:iMPACT:923 - Can not find cable, check cable 
setup !"

aus...

Würde mich über Antwort freuen wie ein kleines Kind! ;-)
Grüße,
Micha

von Anton G. (anton_g)


Lesenswert?

Weiß einer von euch ob die Boards bei Pollin wieder kommen oder nun für 
immer fort sind?

von Duke Scarring (Gast)


Lesenswert?

Hast Du Pollin schonmal gefragt:
http://www.pollin.de/shop/kontakt-und-service.html

Die sollten das am Besten wissen...

Duke

von mweltring (Gast)


Lesenswert?

gestern gabs eins bei ebay:

http://www.ebay.de/itm/ws/eBayISAPI.dll?ViewItem&item=221129467237&ssPageName=ADME:B:EOIBSA:DE:3160

Nagelneu und preiswerter als bei Pollin inkl. Versand

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.